JP2015522709A - ドライプラズマエッチング装置用の比例的及び均一な制御されたガス流送出 - Google Patents

ドライプラズマエッチング装置用の比例的及び均一な制御されたガス流送出 Download PDF

Info

Publication number
JP2015522709A
JP2015522709A JP2015508991A JP2015508991A JP2015522709A JP 2015522709 A JP2015522709 A JP 2015522709A JP 2015508991 A JP2015508991 A JP 2015508991A JP 2015508991 A JP2015508991 A JP 2015508991A JP 2015522709 A JP2015522709 A JP 2015522709A
Authority
JP
Japan
Prior art keywords
inlet
passages
nozzle
gas
inlet hub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015508991A
Other languages
English (en)
Inventor
ロイ シー ナンゴイ
ロイ シー ナンゴイ
アンドリュー ワイ グエン
アンドリュー ワイ グエン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015522709A publication Critical patent/JP2015522709A/ja
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • B05B1/185Roses; Shower heads characterised by their outlet element; Mounting arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F35/00Accessories for mixers; Auxiliary operations or auxiliary devices; Parts or details of general application
    • B01F35/71Feed mechanisms
    • B01F35/717Feed mechanisms characterised by the means for feeding the components to the mixer
    • B01F35/7182Feed mechanisms characterised by the means for feeding the components to the mixer with means for feeding the material with a fractal or tree-type distribution in a surface
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本発明の実施形態は、均一性を改善した、処理ガスを処理チャンバに提供するための方法及び装置に関する。本発明の一実施形態は、ガス注入アセンブリを提供する。ガス注入アセンブリは、入口ハブと、入口ハブに接触して配置された複数の注入通路を有するノズルと、ノズルと入口ハブの間に配置された分配インサートを含む。分配インサートは、入口ハブをノズルの複数の注入通路に接続するように構成された1以上のガス分配通路を有する。1以上のガス分配通路の各々は、複数の出口と接続する1つの入口を有し、入口と複数の出口の各々との間の距離は実質的に等しい。

Description

背景
(分野)
本発明の実施形態は、概して、基板処理システム及び関連する基板プロセスに関する。より具体的には、本発明の実施形態は、均一性を改善した、処理ガスを処理チャンバに提供するための方法及び装置に関する。
(関連技術の説明)
マイクロ電子デバイスの製造の際に、誘導結合プラズマリアクタは、各種処理に使用される。従来の誘導結合プラズマリアクタは、概して、側壁及び天井を有する真空チャンバと、チャンバ内で概して天井に対向するワークピース支持台と、1以上の処理ガスをチャンバ内に供給することができるガス入口と、天井の上にある1以上のコイルアンテナを含む。ガス入口は、概して、複数の噴出口を有するノズルに結合された1以上のガスラインを含む。ガスラインは、通常、一方の側から非対称にノズルに接続される。ガスラインの非対称接続は、不均一な処理結果をもたらす、ノズルからの処理ガスの分布のスキューを引き起こす。
したがって、均一性を改善した、処理ガスを送出するための装置及び方法が必要である。
概要
本発明の実施形態は、概して、基板処理システム及び関連する基板プロセスに関する。より具体的には、本発明の実施形態は、均一性を改善した、処理ガスを処理チャンバに提供するための方法及び装置に関する。
本発明の一実施形態は、ガス注入アセンブリを提供する。ガス注入アセンブリは、入口ハブと、入口ハブに接触して配置された複数の注入通路を有するノズルと、ノズルと入口ハブの間に配置された分配インサートを含む。分配インサートは、入口ハブをノズルの複数の注入通路に接続するように構成された1以上のガス分配通路を有する。1以上のガス分配通路の各々は、複数の出口と接続する1つの入口を有し、入口と複数の出口の各々との間の距離は実質的に等しい。
本発明の別の一実施形態は、基板処理システムを提供する。基板処理システムは、処理容積を画定するチャンバハウジングアセンブリと、処理容積内に配置された基板支持体を含む。チャンバハウジングアセンブリは、中央開口部を有するチャンバ蓋を含む。基板処理システムは、チャンバ蓋の上方に配置されたガス注入アセンブリを更に含む。ノズルの一部は、チャンバ蓋内の中央開口部を通って処理容積内に配置される。ガス注入アセンブリは、入口ハブと、入口ハブに接触して配置された複数の注入通路を有するノズルと、ノズルと入口ハブの間に配置された分配インサートを含む。分配インサートは、入口ハブをノズルの複数の注入通路に接続するように構成された1以上のガス分配通路を有する。1以上のガス分配通路の各々は、複数の出口と接続する1つの入口を有し、入口と複数の出口の各々との間の距離は実質的に等しい。
本発明の更に別の一実施形態は、基板を処理するための方法を提供する。この方法は、基板処理システム内に基板を配置する工程と、基板処理システムのガス注入アセンブリを通して1以上の処理ガスを供給する工程を含む。ガス注入アセンブリは、入口ハブと、入口ハブに接触して配置された複数の注入通路を有するノズルと、ノズルと入口ハブの間に配置された分配インサートを含む。分配インサートは、入口ハブをノズルの複数の注入通路に接続するように構成された1以上のガス分配通路を有する。1以上のガス分配通路の各々は、複数の出口と接続する1つの入口を有し、入口と複数の出口の各々との間の距離は実質的に等しい。
本発明の実施形態の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明の実施形態のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を限定していると解釈されるべきではないことに留意すべきである。
本発明の一実施形態に係るプラズマ処理システムを概略的に示す。 本発明の一実施形態に係るガス注入アセンブリの拡大断面図である。 図2Aのガス注入アセンブリの分解図である。 本発明の一実施形態に係るガスハブの概略上面斜視図である。 図3Aのガスハブの概略断面斜視図である。 図3Aのガスハブ内のガス通路を示す断面側面図である。 本発明の一実施形態に係るノズルインサートの概略上面斜視図である。 本発明の一実施形態に係る分配インサートの概略上面斜視図である。 図5Aの分配インサートの概略底面斜視図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態で開示された要素を、特に説明することなく、他の実施形態で有益に利用してもよいと理解される。
詳細な説明
本発明の実施形態は、概して、均一性を改善した、処理ガスを処理チャンバに提供するための方法及び装置に関する。より具体的には、本発明の実施形態は、1以上のガスを供給源から受け取るための入口ハブと、1以上のガスを複数の注入通路を介して処理チャンバに注入するためのノズルと、入口ハブとノズルの間の分配インサートを含むガス注入アセンブリを提供する。
分配インサートは、入口ハブをノズル内の複数の注入通路に接続する分配通路を提供し、これによって複数の注入通路及び入口ハブからの距離は実質的に等しくなる。入口ハブが、複数の注入通路に対して対称配置されなくても、分配インサートは、入口ハブから等しい距離でノズル内の複数の注入通路の各々を配置するので、ガス注入の均一性を改善する。
本発明の一実施形態によれば、ノズル、分配インサート、及び入口ハブは、いかなる物理的コンポーネント(例えば、溶接、締結具)によって接合されることなく、分離したままである。動作中、ノズル及び入口ハブは、処理チャンバの内部と外部との間の圧力差によって互いに押し付けられ、これによって気密構造を作ることができる。一実施形態では、ばね要素を含み、これによって入口ハブに対して分配インサートにバイアスを掛け、分配通路を形成することができる。恒久的な接合部の必要性を排除することによって、ガス注入ノズルは、合理的なコストでセラミックスから製造することができる。
一実施形態では、ガス注入アセンブリは、観察窓を含む。観察窓は、ノズルの中央開口部の上方に配置することができる。観察によって、光学センサが、処理チャンバ内の基板を監視可能となり、したがって制御性を向上させることができる。
図1は、様々な基板を処理し、様々な基板サイズ(例えば、最大約450mmの基板直径)を収容するための基板処理システム100の断面図を概略的に示す。基板処理システム100は、内部に画定された処理容積104を有するチャンバハウジングアセンブリ102を含む。チャンバハウジングアセンブリ102は、側壁106及びチャンバ蓋108を含むことができる。基板支持アセンブリ110は、処理容積104内に配置することができる。基板支持アセンブリ110は、処理の間、基板112を支持する。スリットバルブ開口部144は、チャンバ壁106を貫通して形成され、これによって基板を処理容積104へ出入り可能とする。スリットバルブドア148が用いられ、これによってスリットバルブ開口部144を選択的に閉じることができる。複数のリフトピン146が用いられ、これによって基板交換中に、基板112を持ち上げて降ろすことができる。一実施形態では、基板支持アセンブリ110は、処理中に基板112を固定するための静電チャック104を含むことができる。
チャンバ蓋108は、1以上の処理ガスの進入を可能にするための開口部116を有する。開口部116は、基板処理システム100の中心軸118付近に位置する中央開口部であり、処理される基板112の中央に対応することができる。
ガス注入アセンブリ120は、開口部116を介してチャンバ蓋108の上方に配置される。ガス注入アセンブリ120は、1以上のガス入力ライン122を介してガス源124に接続され、これによって1以上の処理ガスを処理容積104へ供給することができる。一実施形態では、1以上の処理ガスは、処理容積104内部に配置されたライナ140内に形成されたポンピングチャネル138を介して処理容積104を出ることができる。ポンピングチャネル138は、真空ポンプ142と流体連通することができる。あるいはまた、真空ポンプ142は、処理容積104に直接接続することができる。
センサ126は、チャンバ蓋104の上方に配置され、ガス注入アセンブリ120を通して処理容積104内の基板112を監視するように構成することができる。センサ126は、プロセス制御のためのフィードバックを提供するためのシステムコントローラ128に接続することができる。
オプションで、基板処理システム100は、チャンバ蓋108の上方に配置されたアンテナアセンブリ130を含むことができる。アンテナアセンブリ130は、処理容積104内にプラズマを生成するように構成される。アンテナアセンブリ130は、基板処理システム100の中心軸118と同軸に配置される1以上のソレノイドインターリーブコイルアンテナを含むことができる。ヒータアセンブリ132は、チャンバ蓋108の上方に配置することができる。ヒータアセンブリ132は、クランプ部材134、136によってチャンバ蓋108に固定することができる。
ガス注入アセンブリ120は、1以上の処理ガスを処理容積104へ均一に供給するように構成される。図2Aは、クランプ部材134,136及びヒータアセンブリ132を取り除いた、チャンバ蓋106上に配置されるガス注入アセンブリ120の拡大断面図である。
図2Aに示されるように、ガス注入アセンブリ120は、入口ハブ210、ノズル230、及び分配インサート250を含む。組み立てられると、ノズル230は、チャンバ蓋106の開口部116内を貫通して配置される。ノズル230は、チャンバ蓋106上にノズル230を取り付けるためのフランジ232を有することができる。ノズル230の一部は、開口部116を貫通して処理容積104内に突出し、これによって処理ガスを処理容積104へ送出する。入口ハブ210は、チャンバ蓋106上に配置され、開口部116及びノズル230を覆う。入口ハブ210は、ノズル230の上方に配置され、ガス入力ライン122とノズル230の間のインタフェースを提供する。分配インサート250は、入口ハブ210の内部容積218内に配置される。分配インサート250は、1以上のガスをガス入力ライン122からノズル230へとルーティングする1以上の分配通路を提供する。
入口ハブ210は、開口部116を囲み、ノズル230とインタフェース接続するような形状の本体211を有する。本体211は、外部環境に面する外面214と、内部容積218を画定する内面216と、ノズル230及びチャンバ蓋106と接触するための底面213を有する。1以上の入口通路212a、212bが、本体211を貫通して形成される。各入口通路212a、212bは、外面214へ開口する第1端部と、内部容積218へ開口する第2端部を有する。1以上の入口通路212a、212bは、1以上のガス入力ライン122と接続するように用いられる。明確にするために、入口通路212bは、断面線上に配置されていないかもしれないが、入口通路212bが、図2Aの断面図内には示されている。一実施形態では、1以上の入口通路212a、212bは、中心軸118に対して非対称である。内部容積218は、底面213に開口部220を有する。開口部220は、内部容積218をノズル230と接続する。
入口ハブ210は、ノズル230と接続し、チャンバ蓋106内の開口部116を覆うように成形することができる。一実施形態では、入口ハブ210の本体211は、実質的に円形である。内部容積218の開口部220を囲むグランド226が入口ハブ210の底面213内に形成され、これによってシール276を受け入れることができる。組み立てられると、グランド226及びシール276もまた、チャンバ蓋106の開口部116を囲み、シール276がチャンバ蓋106に接触し、これによって処理容積104と外部環境の間の気密シールを形成する。
ノズル230は、入口ハブ210の底面213と接触するための上面231を有する。ノズル238は、1以上の処理ガスを入口ハブ210の内部容積218から注入するための複数の注入通路236、238を有することができる。複数の注入通路236、238の各々は、上面231に入口を有する。注入通路236、238は、様々な位置に出口を有し、これによって所望のガス注入効果を達成することができる。一実施形態では、図2Aに示されるように、複数の注入通路236は、複数の注入通路236の半径方向外方へ配置される。複数の注入通路236は、ノズル230から半径方向外方へ向けられた出口を有する。複数の注入通路238は、ノズル230から下方へ向けられた出口を有する。一実施形態では、ノズル230は円筒形であることができ、複数の注入通路236、238は、方位方向に均等に分布している。
分配インサート250は、入口ハブ210の内部容積218内に配置される。分配インサート250は、入口通路212a、212bを複数の注入通路236、238に接続するように構成された1以上の分配通路254、256を有する。一実施形態では、1以上の分配通路254、256の各々は、1つの入口と複数の出口を有し、入口と複数の出口の各々の間の距離は、実質的に等しい。各注入通路236、238まで実質的に等しい長さの分配通路を提供することによって、分配インサート250は、入口通路212a、212bに対する個々の注入通路236、238の位置にかかわらず、実質的に同一の条件で、処理ガスが個々の注入通路236、238に到達することを保証し、したがってノズル230からの方位的な注入の均一性を向上させる。
一実施形態では、分配インサート250は、複数の溝290が上に形成された中実体252を含む。1以上の分配通路254、256は、図2Aに示されるように、分配インサート250の中実体252と入口ハブ210の内面216上に、複数の溝290によって画定される。ばね要素が使用され、これによって分配インサート250を入口ハブ210の内面216に対して押し付け、これによって分配通路254、256を形成することができる。一実施形態では、グランド260上に配置されたシール278は、ばね要素として使用することができる。シール278は、分配インサート250とノズル230の間で圧縮され、これによってばね力を入口ハブ210に対して印加する。シール278からのばね力が、Oリングシール276によって形成された真空シールに支障をきたさないように、グランド260は、真空シールを形成するためのシール278の設計要件によるグランドよりも深く形成することができる。一実施形態では、シール278は、その元のサイズの約10%〜約20%を低減するように圧縮することができる。
あるいはまた、分配インサート250は、内部チャネルで全体的に又は部分的に作られた分配通路を含むことができる。
図2Aに示されるように、ガス注入アセンブリ120は、2つの独立したガス源からガスを受け取り注入するように構成される。入口通路212a、212bの各々は、1つのソースラインを受け取るように使用することができる。図2Aに示されるように、分配通路254は、入口通路212bを複数の注入通路238に接続し、点線の矢印で示される流体通路を形成する。入口通路212bの出口から複数の注入通路238の各々の出口までの距離は、実質的に等しい。こうして、入口通路212bからの処理ガスは、複数の注入通路238を介して処理容積104に均一に注入することができる。分配通路256は、入口通路212aを複数の注入通路236に接続し、実線の矢印で示される流体通路を形成する。入口通路212aの出口から複数の注入通路236の各々の出口までの距離は、実質的に等しい。こうして、入口通路212aからの処理ガスは、複数の注入通路236を介して処理容積104に均一に注入することができる。あるいはまた、ガス注入アセンブリ120は、より多くの又はより少ない独立した通路を含むことができる。
整列要素は、分配インサート250及び入口ハブ210を整列させるために使用可能であり、これによって入口通路212a、212bは、分配インサート250内で対応する分配通路254、256と整列する。一実施形態では、入口ハブ210は整列孔224を有し、分配インサート250は整列孔258を有し、ドエルピン272は、入口ハブ210内の整列孔224と分配インサート250内の整列孔258の間を通り抜ける。あるいはまた、他の整列要素(例えば、歯と溝)を使用してもよい。
オプションで、ガス注入アセンブリ120は、観察窓270を含む。入口ハブ210の本体211は貫通孔222を有することができ、内部容積218は、貫通孔222から半径方向外方のリング状の凹部であることができる、ノズル230は、中央開口部240を有する中空円筒であることができ、複数の注入通路236、238は、中空円筒の側壁を貫通して形成することができる。観察窓270は、入口ハブ210とノズル230の間に配置することができる。一実施形態では、ノズル230は、観察窓270を支持するための凹部242を有することができる。入口ハブ210は、シール274を受け入れるために形成されたグランド228を有し、これによって入口ハブ210と観察窓270の間の真空シールを提供することができる。一実施形態では、観察窓270は、石英から製造される。
図2Bは、図2Aのガス注入アセンブリ210の分解図である。入口ハブ210、ノズル230、及び分配インサート250は、別々のコンポーネントとしたままであり、恒久的に共に接合されない。入口ハブ210、ノズル230、及び分配インサート250は、セラミックス材料(例えば、酸化アルミニウム)から製造することができる。
図3Aは、本発明の一実施形態に係る入口ハブ210の概略斜視図である。図3Bは、入口ハブ210の概略断面斜視図である。入口通路212a、212bが、本体211の1つの側から形成され、内部容積218に非対称に入ることができる。
図3Cは、入口ハブ210内の入口通路212a、212bを示す断面側面図である。図3Cに示されるように、入口通路212a、212bは、異なる鉛直方向の高さで入口ハブ210の内部容積218に入ることができる。
図4は、本発明の一実施形態に係るノズルインサート230の概略斜視図である。複数の注入通路236、238は、円筒体234の周囲に沿って均等に分布される。注入通路236は、注入通路238の半径方向外方にある。円402、404は、ノズル230上で入口ハブ210の底面213の設置領域を示す。円402、404間のリング状領域は、入口ハブ210内の内部容積218の開口部220に対応する。注入通路238、236は、円402、404間のリング状領域内に配置される。
曲線404は、本発明の一実施形態に係る分配インサート250の設置領域を示す。分配インサート250は、注入通路236、238を分離し、グループ化する。各々のグループ内の注入通路236、238は、分配インサート250内の分配通路のうちの1つの出口を共有する。
図5Aは、本発明の一実施形態に係る分配インサート250の概略斜視図である。図5Bは、分配インサート250の別の一斜視図である。分配インサート250は、リング状本体252を有する。
分配通路256は、1つの入口502と複数の出口504を含む。複数の出口504は、リング状本体252の周囲に沿って均等に分布させることができる。入口502は、入口ハブ210内の入口通路と整列するように構成される。図5Aに示されるように、分配通路256は、入口502の直後にブランチ(分岐部)503a、503bへと分岐する。ブランチ503a、503bは、本体252の弧に沿って反対方向に同じ長さ延び、その後、ブランチ505a、505b、及び505c、505dへとそれぞれ分岐する。ブランチ505a、505bは、本体252の弧に沿って反対方向に同じ長さ延び、出口504のうちの2つに接続する。ブランチ505c、505dは、本体252の弧に沿って反対方向に同じ長さ延び、出口504のうちの2つに接続する。こうして、入口502から出口504の各々までの距離は等しい。通路256は、より多くの出口と接続するために更に分岐してもよい。
同様に、分配通路254は、1つの入口512と複数の出口514を含む。分配通路254は、入口512から2回分岐して、出口514に到達する。
図5Bに示されるように、分割部506、516をリング状本体252の底面518上に形成することができる。ノズル230に接触すると、分割部506、516は、複数の注入通路236、238を複数のグループにグループ化することができる。1つのグループ内の注入通路236は、複数の出口504のうちの同じグループに接続される。1つのグループ内の注入通路238は、複数の出口514のうちの同じグループに接続される。入口通路236、238に対する分割部506、516の位置は、図4に示される。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 入口ハブと、
    入口ハブに接触して配置された複数の注入通路を有するノズルと、
    ノズルと入口ハブの間に配置され、入口ハブをノズルの複数の注入通路に接続するように構成された1以上のガス分配通路を有する分配インサートを含み、1以上のガス分配通路の各々は、複数の出口と接続する1つの入口を有し、入口と複数の出口の各々との間の距離は実質的に等しいガス注入アセンブリ。
  2. 入口ハブは、外面と内面を有し、内面は内部容積を画定し、内部容積は開口部を有し、1以上の入口通路が入口ハブ内に形成され、1以上の入口通路の各々は、外面に開かれている第1端と、内面に開かれている第2端を有する請求項1記載のガス注入アセンブリ。
  3. ノズルは、内部容積の開口部の上方で入口ハブに接触して配置され、ノズルは、入口ハブの内部容積を閉じ、複数の注入通路は、入口ハブの内部容積を外部容積へ接続する請求項2記載のガス注入アセンブリ。
  4. 分配インサートは、入口ハブの内部容積内に配置され、入口は、入口ハブの対応する入口通路の第2端と接続するように配置され、複数の出口の各々は、ノズル内の複数の注入通路のうちの1以上に開かれている請求項3記載のガス注入アセンブリ。
  5. 1以上のガス分配通路の各々は、入口から複数の出口へと1回以上分岐されている請求項4記載のガス注入アセンブリ。
  6. 分配インサートの外面は、入口ハブの内面に接触し、1以上のガス分配通路は、入口ハブの内面と分配インサートの外面上の溝との間に形成されたチャネルを含む請求項5記載のガス注入アセンブリ。
  7. 分配インサートは、リング状本体を有し、入口ハブの内部容積は、分配インサートのリング状本体を受けるように構成されたリング状の凹部である請求項6記載のガス注入アセンブリ。
  8. 1以上のガス分配通路の各々1つの中の複数の出口は、分配インサートのリング状本体の周囲に沿って均等に分布している請求項7記載のガス注入アセンブリ。
  9. ノズルと分配インサートとの間に配置されたばね要素を含み、ばね要素は、分配インサートを入口ハブの内面に対して押圧する請求項8記載のガス注入アセンブリ。
  10. 分配インサートを入口ハブと整列するように構成された整列要素を含み、整列要素はドエルピンである請求項4記載のガス注入アセンブリ。
  11. ノズルは、中央開口部を囲む円筒側壁を有する中空円筒であり、複数の注入通路が、円筒側壁内に形成される請求項4記載のガス分配アセンブリ。
  12. 入口ハブとノズルとの間に配置された観察窓を含み、入口ハブは、内部容積の半径方向内方に中央開口部を有し、入口ハブの中央開口部とノズルの中央開口部は、互いに整列され、観察窓は、ノズルの中央開口部を覆う請求項11記載のガス分配アセンブリ。
  13. 複数の注入通路は、複数の内側注入通路と複数の外側注入通路を含み、複数の内側注入通路は、円筒側壁の下端に開いており、複数の外側注入通路は、円筒側壁の外面に開いている請求項11記載のガス分配アセンブリ。
  14. 処理容積を画定し、中央開口部を有するチャンバ蓋を含むチャンバハウジングアセンブリと、
    処理容積内に配置された基板支持体と、
    チャンバ蓋の上方に配置された請求項1〜13のいずれか1項記載のガス注入アセンブリを含み、ノズルの一部は、チャンバ蓋内の中央開口部を通って処理容積内に配置される基板処理システム。
  15. 基板を処理するための方法であって、
    請求項14記載の基板処理システム内に基板を配置する工程と、
    基板処理システムのガス注入アセンブリを通して1以上の処理ガスを供給する工程を含む方法。
JP2015508991A 2012-04-26 2013-04-05 ドライプラズマエッチング装置用の比例的及び均一な制御されたガス流送出 Pending JP2015522709A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261638847P 2012-04-26 2012-04-26
US61/638,847 2012-04-26
US13/790,735 US9162236B2 (en) 2012-04-26 2013-03-08 Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US13/790,735 2013-03-08
PCT/US2013/035383 WO2013162851A1 (en) 2012-04-26 2013-04-05 Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus

Publications (1)

Publication Number Publication Date
JP2015522709A true JP2015522709A (ja) 2015-08-06

Family

ID=49476407

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015508991A Pending JP2015522709A (ja) 2012-04-26 2013-04-05 ドライプラズマエッチング装置用の比例的及び均一な制御されたガス流送出

Country Status (6)

Country Link
US (1) US9162236B2 (ja)
JP (1) JP2015522709A (ja)
KR (1) KR102076520B1 (ja)
CN (1) CN104170067B (ja)
TW (1) TW201411717A (ja)
WO (1) WO2013162851A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017224804A (ja) * 2016-04-28 2017-12-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ用セラミック被覆石英リッド
JP2022516313A (ja) * 2019-01-07 2022-02-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバのミキシングシステム

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106935467B (zh) * 2015-12-31 2018-11-06 中微半导体设备(上海)有限公司 一种电感耦合等离子处理器
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102553629B1 (ko) 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
JP6685197B2 (ja) * 2016-07-26 2020-04-22 東京エレクトロン株式会社 基板処理装置およびノズル
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10840066B2 (en) 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102601581B1 (ko) 2018-10-31 2023-11-14 삼성전자주식회사 플라즈마 챔버의 가스 공급 장치 및 이를 적용한 플라즈마 처리 장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111799143B (zh) * 2019-04-09 2023-09-22 应用材料公司 半导体处理腔室多阶段混合设备
TWI728337B (zh) * 2019-04-09 2021-05-21 美商應用材料股份有限公司 半導體處理系統與將前驅物輸送通過半導體處理系統的方法
TWI768838B (zh) * 2019-04-09 2022-06-21 美商應用材料股份有限公司 半導體處理系統部件
US20210066050A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance inner shield for process chamber
USD913979S1 (en) 2019-08-28 2021-03-23 Applied Materials, Inc. Inner shield for a substrate processing chamber
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
WO2022046615A1 (en) * 2020-08-28 2022-03-03 Mattson Technology, Inc. Plasma strip tool with movable insert
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6079355A (en) * 1997-02-11 2000-06-27 United Microelectronics Corp. Alignment aid for an electrode plate assembly
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
DE10100670A1 (de) * 2001-01-09 2002-08-14 Univ Braunschweig Tech Zuführvorrichtung für eine CVD-Anlage
GB0111485D0 (en) * 2001-05-11 2001-07-04 Amersham Pharm Biotech Ab Scalable liquid distribution system for large scale chromatography columns
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20040020439A1 (en) * 2002-07-30 2004-02-05 Chin-Jen Chen Process chamber window assembly
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20060228490A1 (en) 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
KR100932964B1 (ko) 2006-12-12 2009-12-21 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 반응 용기
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US20130248611A1 (en) * 2010-11-30 2013-09-26 Socpra Sciences Et Genie S.E.C. Epitaxial Deposition Apparatus, Gas Injectors, and Chemical Vapor Management System Associated Therewith
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017224804A (ja) * 2016-04-28 2017-12-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ用セラミック被覆石英リッド
JP6998129B2 (ja) 2016-04-28 2022-02-04 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用セラミック被覆石英リッド
JP2022058374A (ja) * 2016-04-28 2022-04-12 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用セラミック被覆石英リッド
US11521830B2 (en) 2016-04-28 2022-12-06 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
JP7284245B2 (ja) 2016-04-28 2023-05-30 アプライド マテリアルズ インコーポレイテッド 処理チャンバ用セラミック被覆石英リッド
US12009178B2 (en) 2016-04-28 2024-06-11 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
JP2022516313A (ja) * 2019-01-07 2022-02-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバのミキシングシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Also Published As

Publication number Publication date
TW201411717A (zh) 2014-03-16
CN104170067B (zh) 2017-03-15
US9162236B2 (en) 2015-10-20
CN104170067A (zh) 2014-11-26
WO2013162851A1 (en) 2013-10-31
KR20150014468A (ko) 2015-02-06
US20130284700A1 (en) 2013-10-31
KR102076520B1 (ko) 2020-02-12

Similar Documents

Publication Publication Date Title
JP2015522709A (ja) ドライプラズマエッチング装置用の比例的及び均一な制御されたガス流送出
US9536710B2 (en) Tunable gas delivery assembly with internal diffuser and angular injection
US11139150B2 (en) Nozzle for multi-zone gas injection assembly
KR102653444B1 (ko) 고온 기판 페데스탈 모듈 및 이의 컴포넌트들
TWI654650B (zh) 用於處理基材的設備
KR102641003B1 (ko) 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법
KR20220080059A (ko) 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드
KR20170074755A (ko) 샤워헤드 어셈블리
US20160222507A1 (en) Apparatus and method for purging gaseous compounds
JP2016036018A (ja) プラズマ処理装置及びガス供給部材
US20140331933A1 (en) Apparatus for processing apparatus having side pumping type
TW201501196A (zh) 用於感應耦合等離子體腔室的氣體注入裝置
JP5718011B2 (ja) プラズマ処理装置及びその処理ガス供給構造
JP2011146464A (ja) プラズマ処理装置