KR102076520B1 - 건식 플라즈마 식각 장치를 위한 비례하고 균일한 제어된 가스 유동 전달 - Google Patents

건식 플라즈마 식각 장치를 위한 비례하고 균일한 제어된 가스 유동 전달 Download PDF

Info

Publication number
KR102076520B1
KR102076520B1 KR1020147033274A KR20147033274A KR102076520B1 KR 102076520 B1 KR102076520 B1 KR 102076520B1 KR 1020147033274 A KR1020147033274 A KR 1020147033274A KR 20147033274 A KR20147033274 A KR 20147033274A KR 102076520 B1 KR102076520 B1 KR 102076520B1
Authority
KR
South Korea
Prior art keywords
inlet
passages
nozzle
hub
gas injection
Prior art date
Application number
KR1020147033274A
Other languages
English (en)
Other versions
KR20150014468A (ko
Inventor
로이 씨. 난고이
앤드류 와이. 엔구옌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150014468A publication Critical patent/KR20150014468A/ko
Application granted granted Critical
Publication of KR102076520B1 publication Critical patent/KR102076520B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/18Roses; Shower heads
    • B05B1/185Roses; Shower heads characterised by their outlet element; Mounting arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F35/00Accessories for mixers; Auxiliary operations or auxiliary devices; Parts or details of general application
    • B01F35/71Feed mechanisms
    • B01F35/717Feed mechanisms characterised by the means for feeding the components to the mixer
    • B01F35/7182Feed mechanisms characterised by the means for feeding the components to the mixer with means for feeding the material with a fractal or tree-type distribution in a surface
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 실시예들은 개선된 균일성으로 프로세스 챔버에 프로세싱 가스들을 제공하기 위한 방법 및 장치에 관한 것이다. 본 발명의 일 실시예는 가스 주입 조립체를 제공한다. 가스 주입 조립체는 유입구 허브, 상기 유입구 허브에 맞대어 배치된, 복수의 주입 통로들을 갖는 노즐, 및 상기 노즐과 유입구 허브 사이에 배치된 분배 인서트를 포함한다. 상기 분배 인서트는 상기 유입구 허브를 상기 노즐의 복수의 주입 통로들에 연결하도록 구성된 하나 또는 둘 이상의 가스 분배 통로들을 갖는다. 상기 하나 또는 둘 이상의 가스 분배 통로들의 각각은 복수의 배출구들과 연결되는 하나의 유입구를 갖고, 상기 유입구와 각각의 상기 복수의 배출구들 사이의 거리들은 실질적으로 동일하다.

Description

건식 플라즈마 식각 장치를 위한 비례하고 균일한 제어된 가스 유동 전달{PROPORTIONAL AND UNIFORM CONTROLLED GAS FLOW DELIVERY FOR DRY PLASMA ETCH APPARATUS}
본 발명의 실시예들은 일반적으로, 기판 프로세싱 시스템 및 관련 기판 프로세스에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은 개선된 균일성으로 프로세스 챔버에 프로세싱 가스들을 제공하기 위한 방법 및 장치에 관한 것이다.
마이크로전자 디바이스들의 제조중에, 유도 결합 플라즈마 반응기들(inductively coupled plasma reactors)이 여러가지 프로세스들에서 이용된다. 종래의 유도 결합 플라즈마 반응기들은 일반적으로 진공 챔버를 포함하고, 상기 진공 챔버는 측벽과 천장, 상기 챔버 내에서 일반적으로 상기 천장을 대면하는 피가공물(workpiece) 지지 페디스털, 상기 챔버 내로 하나 또는 둘 이상의 프로세싱 가스들을 공급할 수 있는 가스 유입구, 및 상기 천장 위에 놓인 하나 또는 둘 이상의 코일 안테나들을 갖는다. 가스 유입구는 일반적으로 복수의 주입 배출구들을 갖는 노즐에 커플링된 하나 또는 둘 이상의 가스 라인들(gas lines)을 포함한다. 가스 라인들은 일반적으로 일 측으로부터 비-대칭 방식으로 노즐에 연결된다. 가스 라인들의 비-대칭 연결은 노즐로부터 프로세싱 가스를 분배할 때 뒤틀림(skew)을 야기하여, 불-균일한 프로세싱을 초래한다.
그러므로, 개선된 균일성으로 프로세싱 가스를 전달하기 위한 장치 및 방법들이 요구된다.
본 발명의 실시예들은 일반적으로 기판 프로세싱 시스템 및 관련 기판 프로세스에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은 개선된 균일성으로 프로세스 챔버에 프로세싱 가스들을 제공하기 위한 방법 및 장치에 관한 것이다.
본 발명의 일 실시예는 가스 주입 조립체를 제공한다. 가스 주입 조립체는 유입구 허브, 유입구 허브에 맞대어(against) 배치된, 복수의 주입 통로들을 갖는 노즐, 및 노즐과 유입구 허브 사이에 배치된 분배 인서트를 포함한다. 분배 인서트는 노즐의 복수의 주입 통로들로 유입구 허브를 연결하도록 구성된 하나 또는 둘 이상의 가스 분배 통로들을 갖는다. 하나 또는 둘 이상의 가스 분배 통로들의 각각은 복수의 배출구들과 연결되는 하나의 유입구를 갖고, 유입구와 각각의 복수의 배출구들 사이의 거리들은 실질적으로 동일하다.
본 발명의 다른 실시예는 기판 프로세싱 시스템을 제공한다. 기판 프로세싱 시스템은 프로세싱 용적을 정의하는 챔버 하우징 조립체 및 프로세싱 용적 내에 배치된 기판 지지부를 포함한다. 챔버 하우징 조립체는 중심 개구를 갖는 챔버 리드를 포함한다. 기판 프로세싱 시스템은 챔버 덮개 위에 배치된 가스 주입 조립체를 더 포함한다. 노즐의 일부가 챔버 덮개 내의 중심 개구를 통하여 프로세싱 용적 내에 배치된다. 가스 주입 조립체는 유입구 허브, 유입구 허브에 맞대어 배치된, 복수의 주입 통로들을 갖는 노즐, 및 노즐과 유입구 허브 사이에 배치된 분배 인서트를 포함한다. 분배 인서트는 유입구 허브를 노즐의 복수의 주입 통로들에 연결하도록 구성된 하나 또는 둘 이상의 가스 분배 통로들을 갖는다. 하나 또는 둘 이상의 가스 분배 통로들의 각각은 복수의 배출구들에 연결되는 하나의 유입구를 갖고, 유입구와 각각의 복수의 배출구들 사이의 거리들은 실질적으로 동일하다.
본 발명의 또 다른 실시예는 기판을 프로세싱하기 위한 방법을 제공한다. 이 방법은 기판 프로세싱 시스템에 기판을 배치하는 단계, 및 기판 프로세싱 시스템의 가스 주입 조립체를 통하여 하나 또는 둘 이상의 프로세싱 가스를 제공하는 단계를 포함한다. 가스 주입 조립체는 유입구 허브, 유입구 허브에 맞대어 배치된, 복수의 주입 통로들을 갖는 노즐, 및 노즐과 유입구 허브 사이에 배치된 분배 인서트를 포함한다. 분배 인서트는 유입구 허브를 노즐의 복수의 주입 통로들에 연결하도록 구성된 하나 또는 둘 이상의 가스 분배 통로들을 갖는다. 하나 또는 둘 이상의 가스 분배 통로들의 각각은 복수의 배출구들과 연결되는 하나의 유입구를 갖고, 유입구와 각각의 복수의 배출구들 사이의 거리들은 실질적으로 동일하다.
본 발명의 실시예들의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 실시예들의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 한다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 프로세싱 시스템을 개략적으로 도시한다.
도 2a는 본 발명의 일 실시예에 따른 가스 주입 조립체의 확대된 단면도이다.
도 2b는 도 2a의 가스 주입 조립체의 분해도이다.
도 3a는 본 발명의 일 실시예에 따른 가스 허브의 개략적 평면 사시도이다.
도 3b는 도 3a의 가스 허브의 개략적 단면 사시도이다.
도 3c는 도 3a의 가스 허브 내의 가스 경로들을 도시하는 측단면도이다.
도 4는 본 발명의 일 실시예에 따른 노즐 인서트의 개략적 평면 사시도이다.
도 5a는 본 발명의 일 실시예에 따른 분배 인서트의 개략적 평면 사시도이다.
도 5b는 도 5a의 분배 인서트의 개략적 저면 사시도이다.
이해를 돕기 위해, 도면들에 공통적인 동일한 요소들을 지시하기 위해, 가능한 한, 동일한 참조 번호들이 이용되었다. 일 실시예에 개시된 요소들은 구체적 설명 없이도 다른 실시예들에서 유리하게 활용될 수 있는 것으로 생각된다.
본 발명의 실시예들은 일반적으로 개선된 균일성으로 프로세스 챔버에 프로세싱 가스들을 제공하기 위한 방법 및 장치에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은 하나 또는 둘 이상의 가스들을 소스로부터 수신하기 위한 유입구 허브, 복수의 주입 통로들을 통해 프로세싱 챔버로 하나 또는 둘 이상의 가스들을 주입하기 위한 노즐, 및 입력 허브와 노즐 사이의 분배 인서트를 포함하는 가스 주입 조립체를 제공한다.
복수의 주입 통로들로부터 유입구 허브로의 거리들이 실질적으로 동일하도록, 분배 인서트는, 유입구 허브를 노즐 내의 복수의 주입 통로들에 연결하는 분배 통로들을 제공한다. 유입구 허브가 복수의 주입 통로에 대해 대칭적으로 위치되지 않을지라도, 분배 인서트는 유입구 허브로부터 동일한 거리에 노즐 내의 복수의 주입 통로들 각각을 위치시키고, 그에 따라 가스 주입 균일성을 개선한다.
본 발명의 일 실시예에 따르면, 노즐, 분배 인서트, 및 유입구 허브는 용접, 체결구들과 같은, 임의의 물리적 구성요소들에 의해 결합되지 않고 분리되어 남아 있다. 작동 중에, 노즐 및 유입구 허브는 프로세싱 챔버의 내부와 외부 사이의 압력 차에 의해서 서로에 대해 가압되어, 기밀 구조를 생성할 수 있다. 일 실시예에서, 분배 통로들을 형성하기 위해 유입구 허브에 대해 분배 인서트를 바이어싱하도록 스프링작용(springing) 요소가 포함될 수 있다. 영구적 이음매들(joints)에 대한 필요성을 배제함으로써, 가스 주입 노즐은 합당한 비용으로 세라믹들(ceramics)로 제조될 수 있다.
일 실시예에서, 가스 주입 조립체는 관찰 윈도우를 포함한다. 관찰 윈도우는 노즐의 중심 개구 위에 배치될 수 있다. 관찰은 광학 센서가 프로세싱 챔버 내의 기판을 모니터링할 수 있게 허용하고, 그에 따라 제어가능성(controllability)을 개선한다.
도 1은 여러가지 기판들을 프로세싱하고 다양한 기판 크기들, 예를 들면 약 450 mm 까지의 기판 직경을 수용하기 위한 기판 프로세싱 시스템(100)의 단면도를 개략적으로 도시한다. 기판 프로세싱 시스템(100)은 내부에 정의된 프로세싱 용적(104)을 갖는 챔버 하우징 조립체(102)를 포함한다. 챔버 하우징 조립체(102)는 측벽들(106) 및 챔버 덮개(108)를 포함할 수 있다. 기판 지지 조립체(110)가 프로세싱 용적(104) 내에 배치될 수 있다. 기판 지지 조립체(110)는 프로세싱 중에 기판(112)을 지지한다. 프로세싱 용적(104) 내부로 및 외부로 기판들을 허용하도록 측벽들(106)을 통하여 슬릿 밸브 개구(144)가 형성될 수 있다. 슬릿 밸브 개구(144)를 선택적으로 폐쇄하도록 슬릿 밸브 도어(148)가 이용될 수 있다. 기판 교환 중에 기판(112)을 픽업하고 내려 놓기 위해 복수의 리프트 핀들(146)이 이용될 수 있다. 일 실시예에서, 기판 지지 조립체(110)는 프로세싱 중에 기판(112)을 고정하기 위한 정전 척(104)을 포함할 수 있다.
챔버 덮개(108)는 하나 또는 둘 이상의 프로세싱 가스들의 입장(entrance)을 허용하기 위해 개구(116)를 갖는다. 개구(116)는 기판 프로세싱 시스템(100)의 중심 축(118) 근처에 위치된 중심 개구일 수 있으며, 프로세싱 중인 기판(112)의 중심에 대응할 수 있다.
개구(116)를 통하여 챔버 덮개(108) 위에 가스 주입 조립체(120)가 배치된다. 가스 주입 조립체(120)는 하나 또는 둘 이상의 가스 입력 라인들(122)을 통하여 가스 소스(124)에 연결되어, 프로세싱 용적(104)에 하나 또는 둘 이상의 프로세싱 가스들을 공급할 수 있다. 일 실시예에서, 하나 또는 둘 이상의 프로세싱 가스들은 프로세싱 용적(104) 내에 배치된 라이너(140)에 형성된 펌핑 채널(138)을 거쳐 프로세싱 용적(104)을 빠져나갈 수 있다. 펌핑 채널(138)은 진공 펌프(142)와 유체 소통할 수 있다. 대안적으로, 진공 펌프(142)는 프로세싱 용적(104)에 직접적으로 연결될 수 있다.
센서(126)는 챔버 덮개(104) 위에 배치될 수 있고 그리고 가스 주입 조립체(120)를 통해 프로세싱 용적(104) 내의 기판(112)을 모니터링하도록 구성될 수 있다. 센서(126)는 프로세스 제어를 위한 피드백을 제공하기 위해 시스템 제어기(128)에 연결될 수 있다.
선택적으로, 기판 프로세싱 시스템(100)은 챔버 덮개(108) 위에 배치된 안테나 조립체(130)를 포함할 수 있다. 안테나 조립체(130)는 프로세싱 용적(104) 내에서 플라즈마를 발생시키도록 구성된다. 안테나 조립체(130)는 기판 프로세싱 시스템(100)의 중심 축(118)과 동축으로 배치된 하나 또는 둘 이상의 솔레노이드 인터리브드 코일 안테나들(solenoidal interleaved coil antennas)을 포함할 수 있다. 챔버 덮개(108) 위에 히터 조립체(132)가 배치될 수 있다. 히터 조립체(132)는 클램핑 부재들(134, 136)에 의해 챔버 덮개(108)에 고정될 수 있다.
가스 주입 조립체(120)는 균일한 방식으로 프로세싱 용적(104)에 하나 또는 둘 이상의 프로세싱 가스들을 공급하도록 구성된다. 도 2a는 클램핑 부재들(134, 136) 및 히터 조립체(132)가 제거된 챔버 덮개(108) 상에 배치된 가스 주입 조립체(120)의 확대 단면도이다.
도 2a에 도시된 바와 같이, 가스 주입 조립체(120)는 유입구 허브(210), 노즐(230) 및 분배 인서트(250)를 포함한다. 조립시, 노즐(230)은 챔버 덮개(108)의 개구(116) 내에 관통하여 배치된다. 노즐(230)은 챔버 덮개(108) 상에 노즐(230)을 장착하기 위한 플랜지(232)를 가질 수 있다. 노즐(230)의 일부는 프로세싱 용적(104)으로 프로세싱 가스를 전달하기 위해 개구(116)를 통하여 프로세싱 용적(104) 내로 돌출한다. 입력 허브(210)는 개구(116) 및 노즐(230)을 덮는 챔버 덮개(108) 상에 배치된다. 유입구 허브(210)는 노즐(230) 위에 배치되며, 가스 입력 라인들(122)과 노즐(230) 사이에 인터페이스를 제공한다. 분배 인서트(250)는 유입구 허브(210)의 내부 용적(218) 내에 배치된다. 분배 인서트(250)는 가스 입력 라인들(122)로부터 노즐(230)로 하나 또는 둘 이상의 가스들을 보내는(routing) 하나 또는 둘 이상의 분배 통로들을 제공한다.
유입구 허브(210)는 개구(116)를 밀폐하고(enclose) 노즐(230)과 인터페이싱하도록 성형된 본체(211)를 갖는다. 본체(211)는 외부 환경을 대면하는 외면(214), 내부 용적(218)을 정의하는 내면(216), 및 노즐(230) 및 챔버 덮개(108)와 접촉하기 위한 저면(213)을 갖는다. 하나 또는 둘 이상의 유입구 통로들(212a, 212b)이 본체(211)를 통하여 형성된다. 각각의 유입구 통로(212a, 212b)는 외면(214)으로 개방된 제 1 단부 및 내부 용적(218)으로 개방된 제 2 단부를 갖는다. 하나 또는 둘 이상의 유입구 통로들(212a, 212b)은 하나 또는 둘 이상의 가스 입력 라인들(122)과 연결되도록 구성된다. 명확성 이유들을 위해, 유입구 통로(212b)가 구획된 선(sectional line) 상에 위치될 수 없을지라도, 유입구 통로(212b)가 도 2a의 단면도에 도시된다. 일 실시예에서, 하나 또는 둘 이상의 유입구 통로들(212a, 212b)은 중심 축(118)에 대해 비-대칭이다. 내부 용적(218)은 저면(213)에 개구(220)를 갖는다. 개구(220)는 내부 용적(218)을 노즐(230)과 연결한다.
유입구 허브(210)는 노즐(230)과 연결되고 챔버 덮개(108) 내의 개구(116)를 덮도록 성형될 수 있다. 일 실시예에서, 유입구 허브(210)의 본체(211)는 실질적으로 원형이다. 내부 용적(218)의 개구(220)를 둘러싸는 글랜드(gland; 226)가 시일(276)을 수용하기 위해 유입구 허브(210)의 저면(213)에 형성될 수 있다. 조립될 때, 글랜드(226) 및 시일(276)은 또한 챔버 덮개(108)의 개구(116)를 둘러싸고, 시일(276)은 프로세싱 용적(104)과 외부 환경 사이에 기밀 시일(air tight seal)을 형성하도록 챔버 덮개(108)와 접촉한다.
노즐(230)은 유입구 허브(210)의 저면(213)과 접촉하기 위한 상부 표면(231)을 갖는다. 노즐(238)은 유입구 허브(210)의 내부 용적(218)으로부터 하나 또는 둘 이상의 프로세싱 가스를 주입하기 위한 복수의 주입 통로들(236, 238)을 가질 수 있다. 복수의 주입 통로들(236, 238)의 각각은 상부 표면(231)에 유입구를 갖는다. 주입 통로들(236, 238)은 희망 가스 주입 효과를 얻기 위해, 여러가지 위치들에 배출구들을 가질 수 있다. 일 실시예에서, 도 2a에 도시된 바와 같이, 복수의 주입 통로들(236)이 복수의 주입 통로들(236)의 방사상 외부에 배열된다. 복수의 주입 통로들(236)은 노즐(230)로부터 방사상 외부로 지향된 배출구들을 가진다. 복수의 주입 통로들(238)은 노즐(230)로부터 아래로 지향된 배출구들을 갖는다. 일 실시예에서, 노즐(230)은 원통형일 수 있으며, 복수의 주입 통로들(236, 238)은 방위각 배향(azimuthal orientation)으로 균일하게 분배된다.
분배 인서트(250)는 유입구 허브(210)의 내부 용적(218) 내에 배치된다. 분배 인서트(250)는 유입구 통로들(212a, 212b)을 복수의 주입 통로들(236, 238)에 연결하도록 구성된 하나 또는 둘 이상의 분배 통로들(254, 256)을 갖는다. 일 실시예에서, 하나 또는 둘 이상의 분배 통로들(254, 256)의 각각은 하나의 유입구 및 복수의 배출구들을 가지며, 유입구와 각각의 복수의 배출구들 사이의 거리들은 실질적으로 동일하다. 각각의 주입 통로들(236, 238)에 대해 실질적으로 동일한 길이의 분배 통로들을 제공함으로써, 분배 인서트(250)는, 유입구 통로들(212a, 212b)에 대한 개별적 주입 통로들(236, 238)의 위치와 관계없이, 프로세싱 가스가 실질적으로 동일한 상태로 개별적 주입 통로들(236, 238)에 도달하는 것을 보증하고, 그에 따라 노즐(230)로부터의 방위각 주입 균일성(azimuthal injection uniformity)을 개선한다.
일 실시예에서, 분배 인서트(250)는 상부에 형성된 복수의 그루브들(290)을 갖는 솔리드 본체(252)를 포함한다. 하나 또는 둘 이상의 분배 통로들(254, 256)은 도 2a에 도시된 바와 같이, 유입구 허브(210)의 내면(216) 및 분배 인서트(250)의 솔리드 본체(252) 상의 복수의 그루브들(290)에 의해 정의된다. 유입구 허브(210)의 내면(216)에 대해 분배 인서트(250)를 가압하여 분배 통로들(254, 256)을 형성하기 위해 스프링작용 요소가 이용될 수 있다. 일 실시예에서, 글랜드(260) 상에 배치된 시일(278)이 스프링작용 요소로서 이용될 수 있다. 시일(278)은 분배 인서트(250)와 노즐(230) 사이에서 가압되어, 유입구 허브(210)에 대해 스프링작용 력(springing force)을 인가한다. 글랜드(260)는 진공 시일을 형성하기 위해 시일(278)에 대한 디자인 요건에 따른 글랜드보다 더 깊게 형성될 수 있고, 그에 따라 시일(278)로부터의 스프링 력이 O-링 시일(276)에 의해 형성된 진공 시일을 손상시키지 않는다. 일 실시예에서, 시일(278)은 시일의 본래 크기의 약 10% 내지 약 20%로 감소되도록 압축될 수 있다.
대안적으로, 분배 인서트(250)는 내부 채널들로 전체적으로 또는 부분적으로 형성된 분배 통로들을 포함할 수 있다.
도 2a에 도시된 바와 같이, 가스 주입 조립체(120)는 2개의 독립적인 가스 소스들로부터 가스를 수용하고 주입하도록 구성된다. 유입구 통로들(212a, 212b)의 각각은 하나의 소스 라인을 수용하도록 구성될 수 있다. 도 2a에 도시된 바와 같이, 분배 통로(254)는 유입구 통로(212b)를 복수의 주입 통로들(238)에 연결하고, 점선 화살표들로 도시된 유체 경로들을 형성한다. 유입구 통로(212b)의 출구로부터 복수의 주입 통로들(238)의 각각의 출구로의 거리들은 실질적으로 동일하다. 따라서, 유입구 통로(212b)의 프로세싱 가스는 균일한 방식으로 복수의 주입 통로들(238)을 통하여 프로세싱 용적(104)으로 주입될 수 있다. 분배 통로들(256)은 유입구 통로(212a)를 복수의 주입 통로들(236)로 연결하고, 실선 화살표들에 의해 도시된 유체 경로들을 형성한다. 유입구 통로(212a)의 출구로부터 복수의 주입 통로들(236)의 각각의 출구로의 거리들은 실질적으로 동일하다. 따라서, 유입구 통로(212a)로부터의 프로세싱 가스는 복수의 주입 통로들(236)을 통해 프로세싱 용적(104)으로 균일한 방식으로 주입될 수 있다. 대안적으로, 가스 주입 조립체(120)는 더 많거나 더 적은 독립적 경로들을 포함할 수 있다.
유입구 통로들(212a, 212b)이 분배 인서트(250) 내의 대응하는 분배 통로(254, 256)와 정렬되도록, 분배 인서트(250) 및 유입구 허브(210)를 정렬시키기 위해 정렬 요소가 이용될 수 있다. 일 실시예에서, 유입구 허브(210)는 정렬 홀(224)을 갖고, 분배 인서트(250)는 정렬 홀(258)을 가지며, 다웰 핀(dowel pin; 272)은 유입구 허브(210) 내의 정렬 홀(224)과 분배 인서트(250) 내의 정렬 홀(258) 사이에서 나사결합된다. 대안적으로, 치형부들(teeth) 및 그루브들과 같은 다른 정렬 요소가 이용될 수 있다.
선택적으로, 가스 주입 조립체(120)는 관찰 윈도우(270)를 포함한다. 유입구 허브(210)의 본체(211)는 스루 홀(222)을 가질 수 있으며, 내부 용적(218)은 스루 홀(222)로부터 방사상 외부의 링-형상 리세스일 수 있다. 노즐(230)은 중심 개구(240)를 갖는 중공형 실린더일 수 있고, 복수의 주입 통로들(236, 238)은 중공형 실린더의 측벽을 통하여 형성될 수 있다. 관찰 윈도우(270)는 유입구 허브(210)와 노즐(230) 사이에 배치될 수 있다. 일 실시예에서, 노즐(230)은 관찰 윈도우(270)를 지지하기 위한 리세스(242)를 가질 수 있다. 유입구 허브(210)는 유입구 허브(210)와 관찰 윈도우(270) 사이에 진공 시일을 제공하기 위해 시일(274)을 수용하도록 형성된 글랜드(228)를 가질 수 있다. 일 실시예에서, 관찰 윈도우(270)는 석영으로 제조된다.
도 2b는 도 2a의 가스 주입 조립체(210)의 분해도이다. 유입구 허브(210), 노즐(230) 및 분배 인서트(250)는 분리된 구성요소들로서 남아 있고, 영구적으로 함께 결합되지 않는다. 유입구 허브(210), 노즐(230), 및 분배 인서트(250)는 알루미늄 산화물과 같은 세라믹 재료로 제조될 수 있다.
도 3a는 본 발명의 일 실시예에 따른 유입구 허브(210)의 개략적 사시도이다. 도 3b는 유입구 허브(210)의 개략적 단면 사시도이다. 유입구 통로들(212a, 212b)은 본체(211)의 일 측으로부터 형성되어 내부 용적(218)으로 비-대칭적으로 들어갈 수 있다.
도 3c는 유입구 허브(210) 내의 유입구 통로들(212a, 212b)을 도시하는 측단면도이다. 도 3c에 도시된 바와 같이, 유입구 통로들(212a, 212b)은 상이한 수직 레벨에서 유입구 허브(210)의 내부 용적(218)으로 들어갈 수 있다.
도 4는 본 발명의 일 실시예에 따른 노즐 인서트(230)의 개략적 사시도이다. 복수의 주입 통로들(236, 238)이 원통형 본체(234)의 둘레를 따라 균일하게 분포된다. 주입 통로들(236)은 주입 통로(238)의 방사상 외부에 있다. 원들(402, 404)은 노즐(230) 상의 유입구 허브(210)의 바닥면(213)의 풋 프린트(foot print)를 도시한다. 원들(402, 404) 사이의 링 형상 영역은 유입구 허브(210) 내의 내부 용적(218)의 개구(220)에 대응한다. 주입 통로들(238, 236)은 원들(402, 404) 사이의 링 형상 영역 내에 위치된다.
곡선(404)은 본 발명의 일 실시예에 따른 분배 인서트(250)의 풋 프린트를 도시한다. 분배 인서트(250)는 주입 통로들(236, 238)을 분리시키고 그룹화한다. 각 그룹 내의 주입 통로들(236, 238)은 분배 인서트(250) 내의 분배 통로의 하나의 배출구를 공유한다.
도 5a는 본 발명의 일 실시예에 따른 분배 인서트(250)의 개략적 사시도이다. 도 5b는 분배 인서트(250)의 다른 사시도이다. 분배 인서트(250)는 링 형상 본체(252)를 갖는다.
분배 통로(256)는 하나의 유입구(502) 및 복수의 배출구들(504)을 포함한다. 복수의 배출구들(504)은 링 형상 본체(252)의 원주를 따라 균일하게 분배될 수 있다. 유입구(502)는 유입구 허브(210) 내의 유입구 통로와 정렬되도록 구성된다. 도 5a에 도시된 바와 같이, 분배 통로(256)는 유입구(502) 직후에 브랜치들(503a, 503b)로 분기한다. 브랜치들(503a, 503b)은 동일한 길이에 대해 반대 방향들로 본체(252)의 호(arc)를 따라 연장한 후, 브랜치들(505a, 505b 및 505c, 505d)로 각각 분기한다. 브랜치들(505a, 505b)은 동일한 길이에 대해 반대 방향들로 본체(252)의 호를 따라 연장하며, 배출구들(504) 중 둘과 연결된다. 브랜치들(505c, 505d)은 동일한 길이에 대해 반대 방향들로 본체(252)의 호를 따라서 연장하며, 배출구들(504) 중 둘과 연결된다. 따라서, 유입구(502)로부터 각각의 배출구들(504)로의 거리들은 동일하다. 통로(256)는 더 많은 배출구들과 더 연결하도록 분기될 수 있다.
유사하게, 분배 통로(254)는 하나의 유입구(512) 및 복수의 배출구(514)를 포함한다. 분배 통로(254)는 배출구들(514)에 도달하도록 유입구(512)로부터 두번 분기한다.
도 5b에 도시된 바와 같이, 디바이더들(506, 516)이 링 형상 본체(252)의 저면(518) 상에 형성될 수 있다. 노즐(230)과 접촉할 때, 디바이더들(506, 516)은 복수의 주입 통로들(236, 238)을 다수의 그룹들로 그룹화할 수 있다. 한 그룹 내의 주입 통로들(236)은 복수의 배출구들(504) 중 동일한 것과 연결된다. 한 그룹 내의 주입 통로들(238)은 복수의 배출구들(514) 중 동일한 것과 연결된다. 주입 통로들(236, 238)에 대한 디바이더들(506, 516)의 위치는 도 4에 도시된다.
전술한 바는 본 발명의 실시예들에 관한 것이지만, 본 발명의 기본 범위로부터 벗어나지 않고 본 발명의 다른 및 추가 실시예들이 안출될 수 있으며, 본 발명의 범위는 후속하는 청구항들에 의해 결정된다.

Claims (20)

  1. 가스 주입 조립체로서:
    링-형상(ring-shaped) 리세스를 정의하는 내면을 갖는 유입구 허브;
    복수의 주입 통로들을 갖는 노즐; 및
    상기 노즐과 유입구 허브 사이에 배치된 분배 인서트를 포함하고,
    상기 분배 인서트는 링-형상 본체를 갖고 상기 유입구 허브의 상기 링-형상 리세스 내에 배치되며, 제 1 및 제2 가스 분배 통로들은 상기 분배 인서트와 상기 유입구 허브의 내면 사이에 형성된 채널들을 포함하고, 제 1 및 제 2 가스 분배 통로들의 각각은 상기 유입구 허브를 상기 노즐의 상기 복수의 주입 통로들에 연결하고, 상기 제 1 가스 분배 통로는 복수의 제 1 배출구들과 연결되는 하나의 제 1 유입구를 갖고, 상기 제 1 유입구와 각각의 복수의 제 1 배출구들 사이의 거리들은 동일하며, 상기 제 2 가스 분배 통로는 복수의 제 2 배출구들과 연결되는 하나의 제 2 유입구를 갖고, 상기 제 2 유입구와 각각의 복수의 제 2 배출구들 사이의 거리들은 동일하며, 상기 링-형상 본체는 내경에서 내벽 및 외경에서 외벽을 가지며, 상기 복수의 제 1 배출구들은 링-형상 본체의 내벽 상의 그루브들(grooves)과 상기 유입구 허브 사이에 형성되고, 상기 복수의 제 2 배출구들은 링-형상 본체의 외벽 상의 그루브들과 상기 유입구 허브 사이에 형성되는,
    가스 주입 조립체.
  2. 제 1 항에 있어서,
    상기 분배 인서트의 상기 링-형상 본체는 상기 노즐과 접촉하는 저면을 갖고, 하나 이상의 디바이더들(dividers)은 상기 저면 상에 형성되고, 상기 하나 이상의 디바이더들은 복수의 주입 통로들을 다수의 그룹들로 그룹화하는,
    가스 주입 조립체.
  3. 제 1 항에 있어서,
    상기 유입구 허브, 상기 분배 인서트 및 상기 노즐은 세라믹(ceramic)으로 제조되는,
    가스 주입 조립체.
  4. 제 1 항에 있어서,
    상기 유입구 허브는 외면을 갖고, 하나 이상의 유입구 통로들은 상기 유입구 허브에 형성되고, 하나 이상의 유입구 통로들의 각각은 외면으로 개방된 제 1 단부 및 내면으로 개방된 제 2 단부를 갖는,
    가스 주입 조립체.
  5. 제 4 항에 있어서,
    상기 노즐은 상기 링-형상의 리세스의 개구의 위에(over) 상기 유입구 허브에 맞대어 배치되고, 상기 노즐은 상기 유입구 허브의 링-형상의 리세스를 폐쇄하며, 상기 복수의 주입 통로들은 상기 유입구 허브의 링-형상의 리세스를 외부 용적에 연결하는,
    가스 주입 조립체.
  6. 제 4 항에 있어서,
    제 1 및 제 2 유입구 통로들은 상이한 수직 레벨들에서 링-형상의 리세스로 진입하는,
    가스 주입 조립체.
  7. 제 5 항에 있어서,
    제 1 및 제 2 유입구의 각각은 유입구 허브의 대응하는 유입구 통로의 제 2 단부와 연결되도록 위치되고, 복수의 제 1 및 제 2 배출구들의 각각은 상기 노즐에서 하나 이상의 복수의 주입 통로들로 개방되는,
    가스 주입 조립체.
  8. 제 7 항에 있어서,
    상기 제 1 및 제 2 가스 분배 통로들의 각각은 상기 유입구로부터 상기 복수의 배출구들로 1회 이상 분기되는,
    가스 주입 조립체.
  9. 제 7 항에 있어서,
    상기 분배 인서트를 상기 유입구 허브와 정렬하도록 구성된 정렬 요소를 더 포함하는,
    가스 주입 조립체.
  10. 제 9 항에 있어서,
    상기 정렬 요소는 다웰 핀(dowel pin)인
    가스 주입 조립체.
  11. 제 7 항에 있어서,
    상기 노즐은 중심 개구를 둘러싸는 원통형 측벽을 갖는 중공형 실린더이고, 상기 복수의 주입 통로들은 상기 원통형 측벽에 형성된,
    가스 주입 조립체.
  12. 제 11 항에 있어서,
    상기 유입구 허브와 노즐 사이에 배치된 관찰 윈도우를 더 포함하고,
    상기 유입구 허브는 상기 링-형상의 리세스의 방사상 내부의 중심 개구를 갖고, 상기 유입구 허브의 중심 개구 및 상기 노즐의 중심 개구는 서로 정렬되며, 상기 관찰 윈도우는 상기 노즐의 중심 개구를 덮는,
    가스 주입 조립체.
  13. 제 11 항에 있어서,
    상기 복수의 주입 통로들은 복수의 내부 주입 통로들 및 복수의 외부 주입 통로들을 포함하고, 상기 복수의 내부 주입 통로들은 상기 원통형 측벽의 하단부(bottom end)로 개방되고, 상기 복수의 외부 주입 통로들은 상기 원통형 측벽의 외면으로 개방되는,
    가스 주입 조립체.
  14. 제 1 항에 있어서,
    상기 제 1 및 제 2 가스 분배 통로들의 각각에서의 복수의 배출구들은 상기 분배 인서트의 링-형상의 본체의 둘레를 따라 균등하게 분배되는,
    가스 주입 조립체.
  15. 제 14 항에 있어서,
    상기 노즐과 분배 인서트 사이에 배치된 스프링 요소를 더 포함하고, 상기 스프링 요소는 상기 분배 인서트를 상기 유입구 허브의 내면에 대해 가압하는(press),
    가스 주입 조립체.
  16. 제 15 항에 있어서,
    상기 스프링 요소는 시일(seal)인,
    가스 주입 조립체.
  17. 제 16 항에 있어서,
    상기 시일은 원래 크기의 10 % 내지 20 %으로 감소되도록 압축되는,
    가스 주입 조립체.
  18. 기판 프로세싱 시스템으로서:
    프로세싱 용적을 정의하는 챔버 하우징 조립체 - 상기 챔버 하우징 조립체는 중심 개구를 갖는 챔버 덮개를 포함함 -;
    상기 프로세싱 용적 내에 배치된 기판 지지부; 및
    상기 챔버 덮개 위에 배치된 가스 주입 조립체;를 포함하고,
    상기 가스 주입 조립체는,
    링-형상(ring-shaped) 리세스를 정의하는 내면을 갖는 유입구 허브;
    복수의 주입 통로들을 갖는 노즐; 및
    상기 노즐과 유입구 허브 사이에 배치된 분배 인서트를 포함하고,
    상기 분배 인서트는 링-형상 본체를 갖고 상기 유입구 허브의 상기 링-형상 리세스 내에 배치되며, 제 1 및 제2 가스 분배 통로들은 상기 분배 인서트와 상기 유입구 허브의 내면 사이에 형성된 채널들을 포함하고, 제 1 및 제 2 가스 분배 통로들의 각각은 상기 유입구 허브를 상기 노즐의 상기 복수의 주입 통로들에 연결하고, 상기 제 1 가스 분배 통로는 복수의 제 1 배출구들과 연결되는 하나의 제 1 유입구를 갖고, 상기 제 1 유입구와 각각의 복수의 제 1 배출구들 사이의 거리들은 동일하며, 상기 제 2 가스 분배 통로는 복수의 제 2 배출구들과 연결되는 하나의 제 2 유입구를 갖고, 상기 제 2 유입구와 각각의 복수의 제 2 배출구들 사이의 거리들은 동일하며, 상기 링-형상 본체는 내경에서 내벽 및 외경에서 외벽을 가지며, 상기 복수의 제 1 배출구들은 링-형상 본체의 내벽 상의 그루브들(grooves)과 상기 유입구 허브 사이에 형성되고, 상기 복수의 제 2 배출구들은 링-형상 본체의 외벽 상의 그루브들과 상기 유입구 허브 사이에 형성되고, 상기 노즐의 일부는 상기 챔버 덮개 내의 중심 개구를 통해 상기 프로세싱 용적 내에 배치되는,
    기판 프로세싱 시스템.
  19. 제 18 항에 있어서,
    상기 가스 주입 조립체의 노즐은 챔버 덮개 상에 놓이는 플랜지(flange)를 포함하는,
    기판 프로세싱 시스템.
  20. 제 18 항에 있어서,
    상기 프로세싱 용적의 외측에 배치되고 상기 가스 주입 조립체의 관찰 윈도우를 통해 프로세싱되는 기판을 모니터링하도록 구성된 광학 센서를 더 포함하는,
    기판 프로세싱 시스템.
KR1020147033274A 2012-04-26 2013-04-05 건식 플라즈마 식각 장치를 위한 비례하고 균일한 제어된 가스 유동 전달 KR102076520B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261638847P 2012-04-26 2012-04-26
US61/638,847 2012-04-26
US13/790,735 2013-03-08
US13/790,735 US9162236B2 (en) 2012-04-26 2013-03-08 Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
PCT/US2013/035383 WO2013162851A1 (en) 2012-04-26 2013-04-05 Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus

Publications (2)

Publication Number Publication Date
KR20150014468A KR20150014468A (ko) 2015-02-06
KR102076520B1 true KR102076520B1 (ko) 2020-02-12

Family

ID=49476407

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147033274A KR102076520B1 (ko) 2012-04-26 2013-04-05 건식 플라즈마 식각 장치를 위한 비례하고 균일한 제어된 가스 유동 전달

Country Status (6)

Country Link
US (1) US9162236B2 (ko)
JP (1) JP2015522709A (ko)
KR (1) KR102076520B1 (ko)
CN (1) CN104170067B (ko)
TW (1) TW201411717A (ko)
WO (1) WO2013162851A1 (ko)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106935467B (zh) * 2015-12-31 2018-11-06 中微半导体设备(上海)有限公司 一种电感耦合等离子处理器
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
JP6685197B2 (ja) * 2016-07-26 2020-04-22 東京エレクトロン株式会社 基板処理装置およびノズル
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) * 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102601581B1 (ko) 2018-10-31 2023-11-14 삼성전자주식회사 플라즈마 챔버의 가스 공급 장치 및 이를 적용한 플라즈마 처리 장치
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI728337B (zh) * 2019-04-09 2021-05-21 美商應用材料股份有限公司 半導體處理系統與將前驅物輸送通過半導體處理系統的方法
CN111799143B (zh) * 2019-04-09 2023-09-22 应用材料公司 半导体处理腔室多阶段混合设备
TWI768838B (zh) * 2019-04-09 2022-06-21 美商應用材料股份有限公司 半導體處理系統部件
US20210066050A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance inner shield for process chamber
USD913979S1 (en) 2019-08-28 2021-03-23 Applied Materials, Inc. Inner shield for a substrate processing chamber
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
EP4200891A1 (en) * 2020-08-28 2023-06-28 Mattson Technology, Inc. Plasma strip tool with movable insert
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090159424A1 (en) * 2007-12-19 2009-06-25 Wei Liu Dual zone gas injection nozzle
US20090272492A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US20110162800A1 (en) * 2009-12-04 2011-07-07 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6079355A (en) * 1997-02-11 2000-06-27 United Microelectronics Corp. Alignment aid for an electrode plate assembly
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
DE10100670A1 (de) * 2001-01-09 2002-08-14 Univ Braunschweig Tech Zuführvorrichtung für eine CVD-Anlage
GB0111485D0 (en) * 2001-05-11 2001-07-04 Amersham Pharm Biotech Ab Scalable liquid distribution system for large scale chromatography columns
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20040020439A1 (en) * 2002-07-30 2004-02-05 Chin-Jen Chen Process chamber window assembly
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
US20060228490A1 (en) 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
KR100932964B1 (ko) 2006-12-12 2009-12-21 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 반응 용기
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
CA2819189A1 (en) * 2010-11-30 2012-06-07 Socpra Sciences Et Genie S.E.C. Epitaxial deposition apparatus, gas injectors, and chemical vapor management system associated therewith
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090159424A1 (en) * 2007-12-19 2009-06-25 Wei Liu Dual zone gas injection nozzle
US20090272492A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
US20110162800A1 (en) * 2009-12-04 2011-07-07 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads

Also Published As

Publication number Publication date
WO2013162851A1 (en) 2013-10-31
CN104170067B (zh) 2017-03-15
JP2015522709A (ja) 2015-08-06
CN104170067A (zh) 2014-11-26
KR20150014468A (ko) 2015-02-06
US20130284700A1 (en) 2013-10-31
TW201411717A (zh) 2014-03-16
US9162236B2 (en) 2015-10-20

Similar Documents

Publication Publication Date Title
KR102076520B1 (ko) 건식 플라즈마 식각 장치를 위한 비례하고 균일한 제어된 가스 유동 전달
US9536710B2 (en) Tunable gas delivery assembly with internal diffuser and angular injection
US10410841B2 (en) Side gas injection kit for multi-zone gas injection assembly
US20190148121A1 (en) Inline dps chamber hardware design to enable axis symmetry for improved flow conductance and uniformity
KR20240045193A (ko) 고온 기판 페데스탈 모듈 및 이의 컴포넌트들
KR102334484B1 (ko) 전력공급부 커버 구조체 및 반도체 제조 장치
KR101944895B1 (ko) 대칭적인 플라즈마 프로세스 챔버
KR20220080059A (ko) 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드
US9659803B2 (en) Electrostatic chuck with concentric cooling base
CN101615575A (zh) 缓冲板以及基板处理装置
US10161035B2 (en) Apparatus and method for purging gaseous compounds
CN105431924B (zh) 用于解决具有改良的流动均匀性/气体传导性的可变的处理容积的对称腔室主体设计架构
US20220213959A1 (en) Chamber body design architecture for next generation advanced plasma technology
US20140331933A1 (en) Apparatus for processing apparatus having side pumping type
TWI627669B (zh) Gas injection device for inductively coupled plasma chamber
KR20180065667A (ko) 기판처리장치
KR20070012131A (ko) 플라즈마 처리 장치 및 배기 판
JP5718011B2 (ja) プラズマ処理装置及びその処理ガス供給構造
KR20100083917A (ko) 플라즈마 식각 장치의 가스공급장치
KR101724917B1 (ko) 기생플라즈마 방지가 가능한 반도체 원자층 증착용 챔버 및 이를 사용하는 원자층 증착장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant