JP2014506397A - 2段階での均一なドライエッチング - Google Patents

2段階での均一なドライエッチング Download PDF

Info

Publication number
JP2014506397A
JP2014506397A JP2013544712A JP2013544712A JP2014506397A JP 2014506397 A JP2014506397 A JP 2014506397A JP 2013544712 A JP2013544712 A JP 2013544712A JP 2013544712 A JP2013544712 A JP 2013544712A JP 2014506397 A JP2014506397 A JP 2014506397A
Authority
JP
Japan
Prior art keywords
dry etching
silicon oxide
stage
trenches
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013544712A
Other languages
English (en)
Other versions
JP5925802B2 (ja
Inventor
ドンチン ヤン,
チン タン,
ニティン イングル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014506397A publication Critical patent/JP2014506397A/ja
Application granted granted Critical
Publication of JP5925802B2 publication Critical patent/JP5925802B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

トレンチ間でより均質なエッチング速度を可能にする、複数のトレンチから酸化ケイ素をエッチングする方法を述べる。エッチング後のトレンチ内部でのエッチングされた酸化ケイ素の表面も、より滑らかであることがある。この方法は、2つのドライエッチング段階と、ドライエッチング段階に続く昇華ステップとを含む。第1のドライエッチング段階は、酸化ケイ素を迅速に除去し、大きな固体残留物微粒子を生成する。第2のドライエッチング段階は、酸化ケイ素をゆっくりと除去し、大きな固体残留物微粒子の中に、小さな固体残留物微粒子を生成する。小さな固体残留物と大きな固体残留物は、どちらも、後続の昇華ステップで除去される。2つのドライエッチング段階の間には昇華ステップはない。

Description

関連出願の相互参照
本出願は、本明細書に全体を参照として組み込む2010年12月14日出願の米国仮特許出願第61/422,942号の利益を主張するものである。
集積回路は、複雑にパターン形成された材料の層を基板表面上に形成するプロセスによって実現可能になる。パターン形成された材料を基板上に形成することは、露出された材料を除去するための制御された方法を必要とする。化学エッチングは、フォトレジストのパターンを下にある層に転写すること、層を薄くすること、または基板上に既に存在するフィーチャの横方向寸法を細くすることを含めた様々な目的に使用される。しばしば、1つの材料を別の材料よりも速くエッチングして、例えばパターン転写プロセスの進行を助けるエッチングプロセスを有することが望ましい。そのようなエッチングプロセスは、第1の材料に対して選択的であると言われる。材料、回路、およびプロセスの多様性により、エッチングプロセスは、様々な材料に対して選択性を有するように開発されている。
Siconi(商標)エッチングは、H、NF、およびNHプラズマ副生成物への基板の同時露出を含む遠隔プラズマ支援ドライエッチングプロセスである。水素とフッ素種の遠隔プラズマ励起が、プラズマ損傷のない基板処理を可能にする。Siconi(商標)エッチングは、酸化ケイ素層に対して非常に適合し、選択的であるが、シリコンについては、アモルファスであるか、結晶性であるか、または多結晶性であるかに関係なく、容易にはエッチングできない。選択性は、浅いトレンチ隔離(STI)および層間誘電体(ILD)凹部形成などの用途に対して利点を提供する。
図1〜図2は、ドライエッチング処理ステップの流れ図と、それに関連する、パターン形成された基板上のトレンチからトリムされる酸化ケイ素の概略側面図とを示す。プロセスは、パターン形成された基板が処理領域内に移送されるときに始まる(操作110)。酸化ケイ素に対して選択的なドライエッチングは、プラズマ副生成物が処理領域に送達されるときに始まる(操作120)。選択的ドライエッチングは、トレンチ内部からの酸化ケイ素150−1の消費と、それに関連する、残っている酸化ケイ素150−2の上での固体残留物155の生成とをもたらす。
Siconi(商標)プロセスは、基板材料が除去されるときに基板の表面上で成長する固体副生成物155を生成する。その後、固体副生成物は、基板の温度が上昇されるときに、昇華によって除去される(操作130)。酸化ケイ素表面の粗さおよびトレンチ間のエッチング速度のばらつきが、昇華後に観察されることがある。
ドライエッチングプロセスのより高い均一性を提供するための方法が必要とされる。
トレンチ間でより均質なエッチング速度を可能にする、複数のトレンチから酸化ケイ素をエッチングする方法を述べる。エッチング後のトレンチ内部でのエッチングされた酸化ケイ素の表面も、より滑らかであることがある。この方法は、2つのドライエッチング段階と、ドライエッチング段階に続く昇華ステップとを含む。第1のドライエッチング段階は、酸化ケイ素を迅速に除去し、大きな固体残留物微粒子を生成する。第2のドライエッチング段階は、酸化ケイ素をゆっくりと除去し、大きな固体残留物微粒子の中に、小さな固体残留物微粒子を生成する。小さな固体残留物と大きな固体残留物は、どちらも、後続の昇華ステップで除去される。2つのドライエッチング段階の間には昇華ステップはない。
本発明の実施形態は、基板処理チャンバの基板処理領域内で、パターン形成された基板の表面上の複数のトレンチから酸化ケイ素をエッチングする方法を含む。これらの方法は、(1)第1のドライエッチング段階で、複数のトレンチそれぞれにおいて酸化ケイ素をドライエッチングし、複数のトレンチ内の残った酸化ケイ素の表面上に第1の固体副生成物を生成するステップと、(2)第2のドライエッチング段階で、複数のトレンチそれぞれにおいて酸化ケイ素をドライエッチングし、複数のトレンチ内の残った酸化ケイ素の表面上に第2の固体副生成物を生成するステップと、(3)複数のトレンチから第1および第2の固体副生成物を昇華させるステップとを含む。酸化ケイ素は、第1のドライエッチング段階中に、第1のエッチング速度で除去される。第2のドライエッチング段階中の酸化ケイ素の第2のエッチング速度は、第1のエッチング速度未満である。
さらなる実施形態および特徴は、一部は、以下の説明に記載し、一部は、本明細書を検討すれば当業者には明らかになり、または開示する実施形態の実施によって知ることができる。開示する実施形態の特徴および利点は、本明細書で述べる手段、組合せ、および方法によって実現および達成することができる。
開示する実施形態の性質および利点のさらなる理解は、本明細書および図面の残りの部分を参照して実現することができる。
図1A〜Dは、ドライエッチング処理ステップの流れ図と、それに関連する、パターン形成された基板上のトレンチからトリムされる酸化ケイ素の概略側面図である。 開示する実施形態によるドライエッチングプロセスの流れ図である。 図3A〜Dは、開示する実施形態によるパターン形成された基板上でトレンチからトリムされる酸化ケイ素の概略側面図である。 開示する実施形態によるエッチングプロセスを実施するための処理チャンバの断面図である。 開示する実施形態によるエッチングプロセスを実施するための処理システムを示す図である。
添付図面において、同様の構成要素および/または特徴には、同じ参照符号が付されていることがある。さらに、同じタイプの様々な構成要素は、参照符号の後に、ダッシュ記号と、同様の構成要素を区別する第2の符号とを続けることによって区別することができる。本明細書で第1の参照符号のみが使用される場合、その説明は、第2の参照符号に関係なく、同じ第1の参照符号を付されている同様の構成要素の任意の1つに適用可能である。
トレンチ間でより均質なエッチング速度を可能にする、複数のトレンチから酸化ケイ素をエッチングする方法を述べる。エッチング後のトレンチ内部でのエッチングされた酸化ケイ素の表面も、より滑らかであることがある。この方法は、2つのドライエッチング段階と、ドライエッチング段階に続く昇華ステップとを含む。第1のドライエッチング段階は、酸化ケイ素を迅速に除去し、大きな固体残留物微粒子を生成する。第2のドライエッチング段階は、酸化ケイ素をゆっくりと除去し、大きな固体残留物微粒子の中に、小さな固体残留物微粒子を生成する。小さな固体残留物と大きな固体残留物は、どちらも、後続の昇華ステップで除去される。2つのドライエッチング段階の間には昇華ステップはない。
Siconi(商標)エッチングプロセスがドライエッチングプロセスの一例であり、アンモニア(NH)などの水素源を三フッ化窒素(NF)などのフッ素源と組み合わせて使用している。この組合せが、遠隔プラズマシステム(RPS)内に流れ、遠隔プラズマシステム内で生成されるプラズマ流が、基板処理領域内に流される。プラズマ流は、露出された酸化ケイ素と反応して固体残留物を生成し、次いで、この固体残留物が表面から昇華されて、エッチングプロセスが完了する。そのようなドライエッチングによって処理された酸化ケイ素表面にわたって、厚さのばらつきが観察されることがある。厚さのばらつきは、ブランケットフィルム、広いトレンチ、さらには、狭いトレンチ内部のシリコン表面にわたる粗さとして現れることがある。厚さのばらつきの別の顕在は、比較的狭いトレンチ間のエッチング速度のばらつきとして観察されることがある。隣接するトレンチにおいて、酸化ケイ素間隙充填が異なる速度でエッチングされることがある。
2つの連続したドライエッチング段階と、それらのドライエッチング段階に続く昇華段階とを使用してSiconi(商標)エッチングを行うことが、狭いトレンチ間のエッチング速度のばらつきを減少させる。第1のドライエッチング段階は、従来技術のSiconi(商標)プロセスと同様である。開示する実施形態では、第1の段階中に生成される固体副生成物は、比較的大きく、同様のサイズの狭いトレンチ間で異なる表面積を露出する。第2のドライエッチング段階は、より遅い速度で酸化ケイ素をエッチングする。第2のドライエッチング段階のエッチャントは、比較的大きな固体副生成物の孔を通って拡散し、大きな固体副生成物の下にある酸化ケイ素の異なる露出表面積と反応することができる。第2のドライエッチング段階は、大きな副生成物中に、比較的小さな固体副生成物を生成する。重量単位での小さな固体副生成物の量は、より少量の大きな固体副生成物を有するトレンチ内部で、より多いことがある。このようにすると、酸化ケイ素の消費(すなわち酸化ケイ素の全体のエッチング速度)が一様化され、それにより、複数の狭いトレンチ間のエッチング速度がより均一になる。したがって、トレンチ間の均一性は、2つのドライエッチング段階を、間に昇華ステップを含まずに順次に組み合わせることによって改良される。昇華ステップは、2つのドライエッチング段階後に、大きな固体副生成物と小さな固体副生成物との両方を除去するために行われる。
本発明をより良く理解して把握するために、ここで図2および図3A〜図3Dを参照する。これらの図はそれぞれ、開示する実施形態による、パターン形成された基板に適用されるドライエッチングプロセスの流れ図と、プロセス中のパターン形成された基板の図である。プロセスは、複数のトレンチ内に酸化ケイ素間隙充填350−1を有するパターン形成された基板が処理チャンバ内に移送されるときに始まる(操作210、図3A)。アンモニアと三フッ化窒素との流れが、処理領域とは別個のプラズマ領域内に投入される。この別個のプラズマ領域は、本明細書では遠隔プラズマ領域と呼ぶことがあり、処理チャンバとは異なるモジュールでよく、またはシャワーヘッドによって基板処理領域から離隔された処理チャンバ内の一区画でもよい。遠隔プラズマ領域内で生成されたプラズマ流が基板処理領域内に進み、パターン形成された基板と相互作用して酸化ケイ素を除去する。
プラズマ流と酸化ケイ素の相互作用は、2段階に分けられる。第1の段階において、プロセスパラメータを選択して、酸化ケイ素間隙充填材料を迅速に除去し、図3Bに示されるように第1の固体残留物微粒子355を残す(操作220)。次いで、ドライエッチングの第2の段階を開始するために、プロセスパラメータを調節する。ドライエッチングのこの第2の段階中、酸化ケイ素間隙充填は、より遅い速度で除去され(操作240)、図3Cに示されるように、酸化ケイ素間隙充填350−3の上で第1の固体残留物微粒子355中に第2の固体残留物微粒子360を生成する。エッチング速度を測定するために、エッチング速度が、除去される酸化ケイ素を特徴付けることに留意すべきである。エッチング界面は、固体残留物355、360の下にある。エッチング速度を計測するために固体残留物を除去する必要はないが、界面を見るには、固体残留物の昇華後のほうが容易になる。様々な実施形態において、プラズマ流との相互作用中の酸化ケイ素の温度は、60℃未満、50℃未満、40℃未満、または35℃未満のいずれか1つにすることができる。
基板を加熱して固体副生成物を昇華させる(操作250)。その結果の概略図を図3Dに示す。第1の固体残留物微粒子355と第2の固体残留物微粒子360がどちらも昇華プロセスによって除去される。様々な実施形態において、固体残留物および酸化ケイ素の温度は、完全な昇華中に、90℃超、100℃超、120℃超、または140℃超のいずれか1つに上昇させることができる。様々な実施形態において、昇華の期間は、45秒超、60秒超、75秒超、90秒超、または120秒超のいずれか1つであることがある。開示する実施形態では、トレンチの幅は、35nm未満、30nm未満、25nm未満、20nm未満、または15nm未満のいずれか1つでよい。
いくつかの実施形態では、プラズマ流の流量、遠隔プラズマ出力、およびエッチングプロセスの期間などのプロセスパラメータの変更により、高いドライエッチング速度と低いドライエッチング速度を選択するのに必要とされる柔軟性がもたらされる。第1のドライエッチング段階中のドライエッチング速度は、第2のドライエッチング段階中のドライエッチング速度よりも大きい。本発明の開示する実施形態では、第1のドライエッチング段階中の酸化ケイ素のエッチング速度は、第2のドライエッチング段階中のエッチング速度の少なくとも約2倍、3倍、または5倍よりも大きく(またはほぼ等しくする)ことができる。また、いくつかの実施形態では、第1のドライエッチング段階中の酸化ケイ素のエッチング速度は、第2のドライエッチング段階中のエッチング速度の約10倍または5倍未満にする(またはほぼ等しくする)ことができる。これらの上限を下限と組み合わせて、追加の実施形態を成すこともできる。特定の実施形態では、第1のドライエッチング段階中のエッチング速度は、第2のドライエッチング段階中のエッチング速度の1〜約5倍の間でよい。
いくつかの実施形態では、第1のドライエッチング段階は、約15秒以上または約30秒以上続くことがある。いくつかの実施形態では、第1のドライエッチング段階は、約2分以下または約1分以下続くことがある。同様に、本発明のいくつかの実施形態では、第2のドライエッチング段階は、約30秒以下、約20秒以下、または約10秒以下続くことがある。第2のドライエッチング段階は、約3秒以上、約5秒以上、または約10秒以上続くことがある。任意の上限を任意の下限と組み合わせて、開示するさらなる実施形態において存在するさらなる範囲を与えることができる。
第1の固体副生成物は、第1のドライエッチング段階と第2のドライエッチング段階との間では昇華されない。第1のドライエッチング段階と第2のドライエッチング段階の間での遅延は、ほとんどないか、または実質的に全くない。他の実施形態では、2組のプロセス条件の間で休止があり、休止中に、エッチャントおよび他のプロセス廃液が基板処理領域から除去される。本明細書で述べるように、プラズマ流を生成するために様々な前駆体を使用することができる。
一般的に言って、エッチングプロセスのために使用されるプラズマ流を生成するために、遠隔プラズマ領域内でフッ素含有前駆体を水素含有前駆体と組み合わせることができる。フッ素含有前駆体は、三フッ化窒素、フッ化水素、二原子フッ素、単原子フッ素、およびフッ素置換炭化水素の1つまたは複数を含むことがある。水素含有前駆体は、原子水素、分子水素、アンモニア、炭化水素、および不完全にハロゲン置換された炭化水素の1つまたは複数を含むことがある。
正しい可能性も正しくない可能性もある仮説メカニズムに特許請求の範囲の対象範囲を束縛することを望まずに、第2のドライエッチング段階中に生成されるプラズマ流は、第1のドライエッチング段階によって残っている比較的大きな固体残留物の周りで拡散することができると考えられる。第1のドライエッチング段階または第2のドライエッチング段階は、単独では、第1のドライエッチング段階とそれに続く第2のドライエッチング段階との順次の組合せよりも不均一な酸化ケイ素表面を生成する。さらなる可能なメカニズムは、第1のドライエッチング段階中により遅くエッチングされる特定のトレンチ内でのより大きな露出された酸化ケイ素領域を含むことがある。これは、第2のドライエッチング段階のプラズマ流が、より多い正味量の酸化ケイ素を除去できるようにし、それにより、複合型の高速−低速ドライエッチングプロセスを一様化する。
エッチング速度は、プロセスパラメータの複雑な関数である。しかし、(それぞれ第1および第2のドライエッチング段階中の)高速および低速ドライエッチングプロセスのいくつかの例が決定されている。第1のドライエッチング段階中の高速ドライエッチングプロセスは、より速い飽和、すなわちエッチングが自己制限的になるまでの時間がより短いことによって特徴付けられる。例えば、第1のドライエッチング段階は、約30秒で自己制限的になることがあり、一方、第2のドライエッチング段階は、数分で自己制限的になることがある。飽和の遅延は、既に生成されている固体残留物を通る拡散能力の向上を示す。一実施形態では、第1のドライエッチング段階のエッチングプロセス(ステップ220)は、1分以内で自己制限的になり、一方、第2のドライエッチング段階のエッチングプロセス(ステップ230)は、少なくとも2分までは自己制限的にならない。別の実施形態では、第1のドライエッチング段階のエッチングプロセス(ステップ220)は、15〜45秒で自己制限的になり、一方、第2のドライエッチング段階のエッチングプロセス(ステップ230)は、少なくとも3分までは自己制限的にならない。他のプロセスパラメータを一定にして、第1のドライエッチング段階は、プラズマ流を生成するために遠隔プラズマ領域に送達される2:1のNH:NFの比を含み、一方、第2のドライエッチング段階は10:1のNH:NFの比を含むことがある。これは、高速および低速ドライエッチングを実現する一方法の例示にすぎない。また、いくつかの実施形態では、第1のドライエッチング段階から第2のドライエッチング段階への30%または50%のプラズマ出力の減少が、流量比(1:1のNH:NF)のわずかな調節のみでドライエッチング速度を十分に減少させることが判明している。プロセスパラメータの相互依存性を前提として、高速および低速ドライエッチングプロセスを実現するさらに多くの方法があると予想される。
例示的な処理システムを述べる中で、さらなる2段階ドライエッチングプロセスパラメータを開示する。
例示的な処理システム
図4は、本発明の実施形態を実施することができる例示的な処理チャンバ400を示す部分断面図である。一般に、水素含有前駆体とフッ素含有前駆体を、1つまたは複数の開孔451を通して遠隔プラズマ領域461〜463内に導入し、プラズマ出力源446によって励起することができる。
一実施形態では、処理チャンバ400は、チャンバ本体412と、リッドアセンブリ402と、支持アセンブリ410とを含む。リッドアセンブリ402は、チャンバ本体412の上端部に配設され、支持アセンブリ410は、少なくとも一部がチャンバ本体412の内部に配設される。処理チャンバ400および関連のハードウェアは、好ましくは、1つまたは複数のプロセス適合材料(例えばアルミニウムやステンレス鋼など)から形成される。
チャンバ本体412は、処理チャンバ400の内部への進入を可能にするために、側壁に形成されたスリットバルブ開口460を含む。スリットバルブ開口460は、ウエハハンドリングロボット(図示せず)がチャンバ本体412の内部に進入できるように選択的に開閉される。一実施形態では、ウエハは、スリットバルブ開口460を通して、処理チャンバ400内に輸送することができ、また処理チャンバ400から隣接する移送チャンバおよび/またはロードロックチャンバに、またはクラスタツール内部の別のチャンバに輸送することができる。処理チャンバ400を含むことができる例示的なクラスタツールが図7に示される。
1つまたは複数の実施形態において、チャンバ本体412は、チャンバ本体412を通して伝熱流体を流すためのチャンバ本体チャネル413を含む。伝熱流体は、加熱流体またはクーラントでよく、処理および基板移送中にチャンバ本体412の温度を制御するために使用される。チャンバ本体412の加熱は、チャンバ壁でのガスまたは副生成物の望ましくない凝縮を防止する助けとなることがある。例示的な伝熱流体は、水、エチレングリコール、またはそれらの混合物を含む。また、例示的な伝熱流体は、窒素ガスを含むこともある。支持アセンブリ410は、支持アセンブリ410を通して伝熱流体を流し、それにより基板温度に影響を及ぼすための支持アセンブリチャネル404を有することがある。
さらに、チャンバ本体412は、支持アセンブリ410を取り囲むライナ433を含むことができる。ライナ433は、好ましくは、整備および洗浄のために取外し可能である。ライナ433は、アルミニウムなどの金属、またはセラミック材料から形成することができる。しかし、ライナ433は、任意のプロセス適合材料でよい。ライナ433は、ビードブラストして、上に堆積される任意の材料の接着性を高めることができ、それにより、処理チャンバ400の汚染を引き起こす材料のフレーキングを防止する。1つまたは複数の実施形態では、ライナ433は、1つまたは複数の開孔435と、開孔435内に形成されたポンピングチャネル429とを含み、ポンピングチャネル429は、真空システムと流体連絡する。開孔435は、ポンピングチャネル429内へのガス用の流路を提供し、ポンピングチャネル429は、処理チャンバ400内部のガス用の出口を提供する。
真空システムは、処理チャンバ400を通るガスの流れを調整するために、真空ポンプ425と、スロットルバルブ427とを含むことができる。真空ポンプ425は、チャンバ本体412に配設された真空ポート431に結合され、したがってライナ433の内部に形成されたポンピングチャネル429と流体連絡する。用語「ガス」の単数形と複数形は、別段の指示がない限り交換可能に使用され、1つまたは複数の反応物、触媒、キャリアガス、パージガス、洗浄ガス、それらの組合せ、ならびにチャンバ本体412内に導入される任意の他の流体を表す。用語「前駆体」は、表面から材料を除去する、または表面に材料を堆積するための反応に関与する任意のプロセスガスを表すために使用される。
開孔435は、ポンピングチャネル429がチャンバ本体412内部の処理領域440と流体連絡できるようにする。処理領域440は、リッドアセンブリ402の下面と支持アセンブリ410の上面とによって画定され、ライナ433によって取り囲まれる。開孔435は、ライナ433の周りに、均一のサイズで、均等に間隔を空けて設けることができる。しかし、任意の数、位置、サイズ、または形状の開孔を使用することができ、それらの設計パラメータはそれぞれ、以下により詳細に論じるように、基板受取面にわたるガスの所望の流れパターンに応じて変えることができる。さらに、開孔435のサイズ、数、および位置は、処理チャンバ400から出るガスの均一な流れを実現するように構成される。さらに、開孔サイズおよび位置は、チャンバ400からのガスの急速な排気を容易にするために高速または高容量ポンピングを提供するように構成することができる。例えば、真空ポート431に近接する開孔435の数およびサイズを、真空ポート431から離れた位置にある開孔435のサイズよりも小さくすることができる。
ガス供給パネル(図示せず)は、典型的には、1つまたは複数の開孔451を通して処理チャンバ400にプロセスガスを提供するために使用される。使用される特定のガスは、チャンバ400内部で実施すべきプロセスに応じて決まる。例示的なガスは、限定はしないが、1つまたは複数の前駆体、還元剤、触媒、キャリアガス、パージガス、洗浄ガス、またはそれらの任意の混合物または組合せである。典型的には、処理チャンバ400に導入される1つまたは複数のガスは、上部プレート450にある開孔451を通ってプラズマ体積461内に流れる。別法として、または組み合わせて、開孔452を通して処理領域440内に処理ガスをより直接的に導入することができる。開孔452は、遠隔プラズマ励起を省略し、プラズマ励起を必要としないガスを含むプロセス、またはガスのさらなる励起から利益を得ないプロセスに有用である。電子作動式バルブおよび/または流量制御メカニズム(図示せず)を使用して、ガス供給源から処理チャンバ400内へのガスの流れを制御することができる。プロセスに応じて、任意の数のガスを処理チャンバ400に送達することができ、処理チャンバ400内で混合する、またはガスが処理チャンバ400に送達される前に混合することができる。
さらに、リッドアセンブリ402は、リッドアセンブリ402内部で反応種のプラズマを発生させるために電極445を含むことができる。一実施形態では、電極445は、上部プレート450によって支持され、酸化アルミニウムまたは任意の他の絶縁およびプロセス適合材料からなる電気絶縁リング447を挿入することによって上部プレート450から電気的に絶縁される。1つまたは複数の実施形態では、電極445が電源446に結合され、リッドアセンブリ402の残りの部分は接地される。したがって、1つまたは複数のプロセスガスのプラズマは、電極445と環状取付けフランジ422との間の体積461、462、および/または463から構成される遠隔プラズマ領域内で発生させることができる。いくつかの実施形態では、環状取付けフランジは、ガス送達プレート420を備える、または支持する。例えば、プラズマは、電極445と、ブロッカアセンブリ430の一方または両方のブロッカプレートとの間で開始して維持することができる。あるいは、ブロッカアセンブリ430がない場合には、プラズマを電極445とガス送達プレート420との間で衝突させて包含することができる。いずれの実施形態においても、プラズマは、リッドアセンブリ402の内部によく閉じ込められる、または包含される。したがって、活性プラズマが、チャンバ本体412内部に配設された基板と直接接触しないので、プラズマは「遠隔プラズマ」である。その結果、プラズマが基板表面から離隔されているので、基板に対するプラズマ損傷を防止することができる。
様々な電源446が、水素含有前駆体(例えばアンモニア)および窒素含有前駆体(三フッ化窒素)を活性化させることができる。例えば、高周波(RF)、直流(DC)、またはマイクロ波(MW)ベースの出力放出技法を使用することができる。また、活性化は、熱ベースの技法、ガス絶縁破壊技法、高強度光源(例えば、UVエネルギー)、またはX線源への露出によって引き起こすこともできる。あるいは、遠隔プラズマジェネレータなど遠隔活性化源を使用して反応種のプラズマを発生させることができ、次いで反応種がチャンバ400内に送達される。例示的な遠隔プラズマジェネレータは、MKS Instruments, Inc.およびAdvanced Energy Industries, Inc.などの製造業者から市販されている。例示的な処理システムでは、RF電源が電極445に結合される。電源446を使用して反応性の酸素も生成される場合には、より高出力のマイクロ波電源446が有益である。
プロセスチャンバ本体412および基板の温度は、それぞれチャンバ本体チャネル413および支持アセンブリチャネル404を通して伝熱媒体を流すことによってそれぞれ制御することができる。熱エネルギーの伝達を容易にするために、支持アセンブリ410の内部に支持アセンブリチャネル404を形成することができる。チャンバ本体412と支持アセンブリ410は、別々に冷却または加熱することができる。例えば、一方を通して加熱流体を流すことができ、他方を通して冷却流体が流される。
基板温度を制御するために他の方法を使用することもできる。抵抗ヒータを用いて、または何らかの他の手段によって支持アセンブリ410(またはペデスタルなど支持アセンブリ410の一部)を加熱することによって基板を加熱することができる。別の構成では、ガス送達プレート420を基板よりも高い温度で維持することができ、基板温度を高めるために基板を上昇させることができる。この場合、基板は、放射加熱されるか、または、ガスを使用してガス送達プレート420から基板に熱を伝導することによって加熱される。基板は、支持アセンブリ410を持ち上げることによって、またはリフトピンを採用することによって上昇させることができる。
様々な実施形態において、本明細書で述べるエッチングプロセス中、チャンバ本体412は、50℃〜80℃の間、55℃〜75℃の間、または60℃〜70℃の間の適切な温度範囲内で維持することができる。様々な実施形態において、プラズマ流および/または酸化剤への露出中、基板は、上記の温度よりも低い温度、すなわち約15℃〜約50℃、約22℃〜約40℃、または約30℃に維持することができる。
プラズマ流は、様々な分子、分子フラグメント、およびイオン化種を含む。現在考えられているSiconi(商標)エッチングの理論的なメカニズムは、完全に正しい可能性も、そうでない可能性もあるが、プラズマ流は、本明細書で述べる低温基板と容易に反応するNHFおよびNHF.HFを含むものと考えられる。プラズマ流は、酸化ケイ素表面と反応して、(NHSiF、NH、およびHO生成物を生成することができる。NHおよびHOは、本明細書で述べる処理条件下では蒸気であり、真空ポンプ425によって処理領域440から除去することができる。(NHSiF固体副生成物の薄い連続または不連続の層が、基板表面上に残る。
プラズマ流への露出、および関連する固体副生成物の蓄積後、基板を加熱して副生成物を除去することができる。いくつかの実施形態では、ガス送達プレート420は、ガス送達プレート420の内部またはガス送達プレート420の近くに加熱要素470を組み込むことによって加熱可能である。基板と加熱されたガス送達プレートとの間の距離を減少させることによって、基板を加熱することができる。様々な実施形態において、ガス送達プレート420は、約100℃〜150℃の間、約110℃〜140℃の間、または約120℃〜130℃の間に加熱することができる。基板と加熱されたガス送達プレートとの間の離隔距離を減少させることによって、様々な実施形態において、基板を約75℃超、約90℃超、約100℃超、または約115℃〜約150℃の間まで加熱することができる。ガス送達プレート420から基板に放射される熱は、基板上の固体(NHSiFを揮発性のSiF、NH、およびHF生成物に解離または昇華させるのに十分なものにすべきであり、それらの生成物は、処理領域440からポンプで除去することができる。基板に熱を与える他の方法を使用することもできる。
様々な実施形態において、三フッ化窒素(または別のフッ素含有前駆体)を、約25sccm〜約200sccmの間、約50sccm〜約150sccmの間、または約75sccm〜約125sccmの間の速度で遠隔プラズマ体積461内に流すことができる。様々な実施形態において、アンモニア(または一般に水素含有前駆体)を、約50sccm〜約300sccmの間、約75sccm〜約250sccmの間、約100sccm〜約200sccmの間、または約120sccm〜約170sccmの間の速度で遠隔プラズマ体積461内に流すことができる。遅いドライエッチングを実現するために使用される方法の1つは、三フッ化窒素の流量をアンモニアの流量の約4分の1以下、約8分の1以下、または約10分の1以下に減少させることを含む。
遠隔プラズマ領域内への水素含有前駆体とフッ素含有前駆体の合計の流量は、ガス混合物全体の0.05体積%〜約20体積%となることがある。残りはキャリアガスである。一実施形態では、遠隔プラズマ領域内部の圧力を安定させるために、まず、反応性ガスの前にパージガスまたはキャリアガスが遠隔プラズマ領域内に投入される。
プラズマ流の生成は、リッドアセンブリ402の残りの部分よりも電極445にプラズマ出力を印加することによって、体積461、462、および/または463の内部で行われる。プラズマ出力は、様々な周波数、または複数の周波数の組合せでよい。例示的な処理システムでは、プラズマは、電極445に送達されるRF出力によって提供される。様々な実施形態において、RF出力は、約1W〜約1000Wの間、約5W〜約600Wの間、約10W〜約300Wの間、または約20W〜約100Wの間でよい。様々な実施形態において、例示的な処理システムに印加されるRF周波数は、約200kHz未満、約150kHz未満、約120kHz未満、または約50kHz〜約90kHzの間でよい。
処理領域440内にオゾン、酸素、キャリアガス、および/またはプラズマ流を流す間、処理領域440を様々な圧力で維持することができる。様々な実施形態において、この圧力は、約500mTorr〜約30Torrの間、約1Torr〜約10Torrの間、または約3Torr〜約6Torrの間で維持することができる。また、処理領域440内部でより低い圧力を使用することもできる。様々な実施形態において、この圧力は、約500mTorr以下、約250mTorr以下、約100mTorr以下、約50mTorr以下、または約20mTorr以下で維持することができる。
1つまたは複数の実施形態では、処理チャンバ400は、Applied Materials, Inc.(米国カリフォルニア州サンタクララ)から市販されているProducer(商標)GT、Centura(商標)AP、およびEndura(商標)プラットフォームを含めた様々なマルチ処理プラットフォームに組み込むことができる。そのような処理プラットフォームは、真空を破壊することなく、いくつかの処理操作を行うことができる。
本発明の実施形態を実施することができる堆積チャンバとしては、様々なチャンバタイプのうち、誘電体エッチングチャンバ、高密度プラズマ化学気相堆積(HDP−CVD)チャンバ、プラズマ化学気相堆積(PECVD)チャンバ、準常圧化学気相堆積(SACVD)チャンバ、および熱化学気相堆積チャンバを挙げることができる。
堆積システムの実施形態は、集積回路チップを製造するためのより大型の製造システムに組み込むことができる。図5は、開示する実施形態による堆積、ベーキング、および硬化チャンバのそのような1つのシステム500を示す。図中で、1対のフープ(FOUP;front opening unified pods)502が基板(例えば直径300mmのウエハ)を供給し、これらの基板は、ロボットアーム504によって受け取られ、低圧保持領域506内に配置され、その後、ウエハ処理チャンバ508a〜fの1つの中に配置される。第2のロボットアーム510を使用して、保持領域506から処理チャンバ508a〜fに、およびその逆に基板ウエハを輸送することができる。各処理チャンバ508a〜fは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、ガス抜き、配向および他の基板プロセスに加えて、本明細書で述べるドライエッチングプロセスを含めたいくつかの基板処理操作を実施するように装備することができる。
処理チャンバ508a〜fは、流動可能な誘電体フィルムを基板ウエハ上に堆積し、アニーリングし、硬化させ、および/またはエッチングするための1つまたは複数のシステム構成要素を含むことができる。一構成では、2対の処理チャンバ(例えば、508c〜dと508e〜f)を使用して、基板上に誘電体材料を堆積することができ、処理チャンバの第3の対(例えば、508a〜b)を使用して、堆積された誘電体をエッチングすることができる。別の構成では、3対のチャンバ(例えば、508a〜f)すべてを、基板上の誘電体フィルムをエッチングするように構成することができる。説明するプロセスの任意の1つまたは複数は、様々な実施形態に示される製造システムから分離された(1つまたは複数の)チャンバで行うことができる。
モータ、バルブ、流量コントローラ、電源、および本明細書で述べるプロセスレシピを実施するのに必要な他の機能を制御するために、システムコントローラ557が使用される。また、処理チャンバ508a〜fの1つまたはすべてにガスを導入するために、システムコントローラ557によってガスハンドリングシステム555を制御することもできる。システムコントローラ557は、光センサからのフィードバックに依拠して、ガスハンドリングシステム555内および/または処理チャンバ508a〜f内での可動の機械的アセンブリの位置を決定および調節することができる。機械的アセンブリは、システムコントローラ557の制御下でモータによって移動されるロボット、スロットルバルブ、およびサセプタを含むことがある。
例示的実施形態では、システムコントローラ557は、ハードディスクドライブ(メモリ)、USBポート、フロッピーディスクドライブ、および処理装置を含む。システムコントローラ557は、アナログおよびデジタル入出力ボード、インターフェースボード、およびステッパモータコントローラボードを含む。処理チャンバ400を含むマルチチャンバ処理システム500の様々な部分が、システムコントローラ557によって制御される。システムコントローラは、ハードディスク、フロッピーディスク、またはフラッシュメモリサムドライブ(thumb drive)などコンピュータ可読媒体に記憶されたコンピュータプログラムの形態でシステム制御ソフトウェアを実行する。他のタイプのメモリを使用することもできる。コンピュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF出力レベル、サセプタ位置、および特定のプロセスの他のパラメータを示す命令のセットを含む。
基板上でのフィルムのエッチング、堆積、または他の処理を行うためのプロセス、またはチャンバを洗浄するためのプロセスは、コントローラによって実行されるコンピュータプログラム製品を使用して実施することができる。コンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、例えば、68000アセンブリ言語、C、C++、Pascal、Fortranなどで書くことができる。従来のテキストエディタを使用して、適切なプログラムコードが単一のファイルまたは複数のファイルに入力され、コンピュータのメモリシステムなどコンピュータ使用可能媒体に記憶される、または組み入れられる。入力されたコードテキストが高水準言語である場合、コードがコンパイルされ、次いで、得られたコンパイラコードが、プリコンパイルされているMicrosoft Windows(登録商標)ライブラリルーチンのオブジェクトコードとリンクされる。リンクされ、コンパイルされたオブジェクトコードを実行するために、システムユーザは、オブジェクトコードを呼び出し、コンピュータシステムに、メモリへのコードのロードを行わせる。次いで、CPUは、プログラムで識別されるタスクを行うために、コードを読み取って実行する。
ユーザとコントローラの間のインターフェースは、タッチモニタを介するものでよく、マウスおよびキーボードを含むこともある。一実施形態では、2つのモニタが使用され、一方が、操作者用に洗浄室の壁に取り付けられ、他方が、サービス技師用に壁の裏に取り付けられる。2つのモニタは、同じ情報を同時に表示することができ、この場合、一方のみが、ある時点で入力を受け入れるように構成される。特定の画面または機能を選択するために、操作者は、指またはマウスで表示画面上の指定の領域に触れる。触れられた領域は、その強調色を変え、または新たなメニューもしくは画面が表示され、操作者の選択を確認する。
本明細書で使用するとき、「基板」は、上に層が形成されている、または形成されていない支持基板でよい。支持基板は、絶縁体、または様々なドーピング濃度およびプロファイルの半導体でよく、例えば、集積回路の製造で使用されるタイプの半導体基板でよい。「酸化ケイ素」は、低い濃度の他の元素成分、例えば、窒素、水素、炭素を含むことがある。ガスは、2つ以上のガスの組合せでよい。用語「トレンチ」は、本明細書を通じて、エッチングされた形状寸法が大きな水平方向アスペクト比を有することは示唆せずに使用される。表面の上から見て、トレンチは、円形、楕円形、多角形、長方形、または様々な他の形状に見えることがある。
いくつかの実施形態を開示してきたが、開示した実施形態の精神から逸脱することなく、様々な修正形態、代替構成、および均等形態を使用することができることを当業者は理解されよう。さらに、本発明を不要に曖昧にするのを避けるために、いくつかのよく知られているプロセスおよび要素は記載していない。したがって、上記の説明は、本発明の範囲を限定するものとみなすべきではない。
ある範囲の値が与えられる場合、文脈上特に明記しない限りは下限の単位の10分の1の単位までの、その範囲の上限と下限の間の各介在値も特に開示されていると理解される。ある指定範囲内の任意の指定値または介在値と、その指定範囲内の任意の他の指定値または介在値との間のより小さな各範囲が包含される。これらのより小さな範囲の上限と下限は、個別に、範囲内に含まれることも範囲から除外されることもあり、より小さな範囲内に限度の一方もしくは両方が含まれる範囲、またはどちらも含まれない範囲も、指定範囲内の任意の特に除外される限度を条件として本発明の範囲に包含される。指定範囲が限度の一方または両方を含む場合、含まれているそれらの限度の一方または両方を除外した範囲も包含される。
本明細書および添付の特許請求の範囲で使用するとき、文脈上明記しない限り、単数形は複数形も含む。したがって、例えば、「プロセス」への言及は、複数のそのようなプロセスを含み、「誘電体材料」への言及は、当業者に知られている1つまたは複数の誘電体材料および均等物への言及を含む。
また、用語「備える」および「含む」は、本明細書および添付の特許請求の範囲で使用するとき、指定した特徴、整数、構成要素、またはステップの存在を特定する意図のものであるが、1つまたは複数の他の特徴、整数、構成要素、ステップ、作用、またはグループの存在または追加を除外しない。

Claims (15)

  1. 基板処理チャンバの基板処理領域内で、パターン形成された基板の表面上の複数のトレンチから酸化ケイ素をエッチングする方法であって、
    第1のドライエッチング段階で、前記複数のトレンチそれぞれにおいて前記酸化ケイ素をドライエッチングし、前記複数のトレンチ内の残った酸化ケイ素の表面上に第1の固体副生成物を生成するステップであって、前記第1のドライエッチング段階中に前記酸化ケイ素が第1のエッチング速度で除去されるステップと、
    第2のドライエッチング段階で、前記複数のトレンチそれぞれにおいて前記酸化ケイ素をドライエッチングし、前記複数のトレンチ内の残った酸化ケイ素の表面上に第2の固体副生成物を生成するステップであって、前記第2のドライエッチング段階中の前記酸化ケイ素の第2のエッチング速度が、前記第1のエッチング速度未満であるステップと、
    前記複数のトレンチから前記第1および第2の固体副生成物を昇華させるステップと
    を含む方法。
  2. 前記第1の固体副生成物が、前記第1のドライエッチング段階と前記第2のドライエッチング段階の間では昇華されない請求項1に記載の方法。
  3. 前記第1のドライエッチング段階と前記第2のドライエッチング段階の間に本質的に遅延がない請求項1に記載の方法。
  4. 前記複数のトレンチそれぞれの幅が35nm未満である請求項1に記載の方法。
  5. 前記第1のドライエッチング段階の期間が、前記第2のドライエッチング段階の期間よりも長い請求項1に記載の方法。
  6. 前記第1のドライエッチング段階の期間が、約15秒〜約1分の間である請求項1に記載の方法。
  7. 前記第2のドライエッチング段階の期間が、約5秒〜約30秒の間である請求項1に記載の方法。
  8. 前記酸化ケイ素が、前記第1および第2のドライエッチング段階中に60℃未満で維持される請求項1に記載の方法。
  9. 前記酸化ケイ素が、前記複数のトレンチから前記第1および第2の固体副生成物を昇華させる操作中に、90℃よりも高い温度に上昇する請求項1に記載の方法。
  10. 前記第1のエッチング速度が、前記第2のエッチング速度の約2倍よりも大きい請求項1に記載の方法。
  11. 前記第1のエッチング速度が、前記第2のエッチング速度の約5倍よりも大きい請求項1に記載の方法。
  12. 前記第1のドライエッチング段階の前記ドライエッチングが、約1分以下で自己制限的になり、前記第2のドライエッチング段階の前記ドライエッチングが、約2分以上で自己制限的になる請求項1に記載の方法。
  13. 前記ドライエッチング操作がそれぞれ、
    プラズマ流を生成するために、前記基板処理領域に流体結合された遠隔プラズマ領域内にフッ素含有前駆体と水素含有前駆体を流すと共に、前記遠隔プラズマ領域内でプラズマを発生させること
    を含む請求項1に記載の方法。
  14. 前記水素含有前駆体が、原子水素、分子水素、アンモニア、炭化水素、および不完全にハロゲン置換された炭化水素からなる群から選択される少なくとも1つの前駆体を含む請求項13に記載の方法。
  15. 前記フッ素含有前駆体が、三フッ化窒素、フッ化水素、二原子フッ素、単原子フッ素、およびフッ素置換炭化水素からなる群から選択される少なくとも1つの前駆体を含む請求項13に記載の方法。
JP2013544712A 2010-12-14 2011-12-13 2段階での均一なドライエッチング Active JP5925802B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US42294210P 2010-12-14 2010-12-14
US61/422,942 2010-12-14
US13/197,487 2011-08-03
US13/197,487 US8741778B2 (en) 2010-12-14 2011-08-03 Uniform dry etch in two stages
PCT/US2011/064724 WO2012106033A2 (en) 2010-12-14 2011-12-13 Uniform dry etch in two stages

Publications (2)

Publication Number Publication Date
JP2014506397A true JP2014506397A (ja) 2014-03-13
JP5925802B2 JP5925802B2 (ja) 2016-05-25

Family

ID=46577711

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013544712A Active JP5925802B2 (ja) 2010-12-14 2011-12-13 2段階での均一なドライエッチング

Country Status (7)

Country Link
US (1) US8741778B2 (ja)
JP (1) JP5925802B2 (ja)
KR (1) KR101931134B1 (ja)
CN (1) CN103210478B (ja)
SG (1) SG189944A1 (ja)
TW (1) TWI541889B (ja)
WO (1) WO2012106033A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017028264A (ja) * 2015-07-23 2017-02-02 ピーエスケー インコーポレイテッド 基板処理装置及び基板処理方法

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9070635B2 (en) 2013-08-09 2015-06-30 United Microelectronics Corp. Removing method
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) * 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9653282B2 (en) * 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN105655283A (zh) * 2014-11-13 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 高深宽比的浅沟槽隔离刻蚀方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9922840B2 (en) 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TWI671787B (zh) 2015-09-22 2019-09-11 美商應用材料股份有限公司 清洗方法
US10256076B2 (en) 2015-10-22 2019-04-09 Applied Materials, Inc. Substrate processing apparatus and methods
WO2017106089A1 (en) 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10636675B2 (en) 2017-09-27 2020-04-28 Applied Materials, Inc. Methods of etching metal-containing layers
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10453936B2 (en) 2017-10-30 2019-10-22 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
US10483369B2 (en) * 2017-10-30 2019-11-19 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11205695B2 (en) * 2017-12-21 2021-12-21 Texas Instruments Incorporated Method of fabricating a thick oxide feature on a semiconductor wafer
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102554014B1 (ko) * 2018-06-15 2023-07-11 삼성전자주식회사 저온 식각 방법 및 플라즈마 식각 장치
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10714347B2 (en) 2018-10-26 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate processes
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20240096641A1 (en) * 2022-09-20 2024-03-21 Applied Materials, Inc. In-situ carbon liner for high aspect ratio features

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04315453A (ja) * 1991-04-15 1992-11-06 Fujitsu Ltd 半導体装置の製造方法
JPH10178090A (ja) * 1996-12-13 1998-06-30 Internatl Business Mach Corp <Ibm> 平坦性を改善する酸化物ストリッピング
JP2007110153A (ja) * 2006-12-18 2007-04-26 Ulvac Japan Ltd バッチ式真空処理装置
JP2008205440A (ja) * 2007-01-11 2008-09-04 Applied Materials Inc Nh3−nf3化学による酸化物エッチング
WO2009085958A2 (en) * 2007-12-21 2009-07-09 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth

Family Cites Families (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH04228572A (ja) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd 硬質窒化ホウ素合成法
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (ko) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 펄스형 전자파를 사용한 플라즈마 cvd 법
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
DE69130947T2 (de) 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP3670277B2 (ja) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
KR0142150B1 (ko) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 붕소 질화물을 에칭하기 위한 방법
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
JPH09106899A (ja) 1995-10-11 1997-04-22 Anelva Corp プラズマcvd装置及び方法並びにドライエッチング装置及び方法
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
WO1997024761A1 (en) 1995-12-27 1997-07-10 Lam Research Corporation Methods and apparatus for filling trenches in a semiconductor wafer
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
JPH10223608A (ja) 1997-02-04 1998-08-21 Sony Corp 半導体装置の製造方法
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
DE69835276T2 (de) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara Verfahren zur Herstellung einer selbst-planarisierten dielektrischen Schicht für eine seichte Grabenisolation
KR100296137B1 (ko) 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
JP2000012514A (ja) 1998-06-19 2000-01-14 Hitachi Ltd 後処理方法
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
EP1014434B1 (de) 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
KR20000044928A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 트랜치 형성 방법
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
JP3099066B1 (ja) 1999-05-07 2000-10-16 東京工業大学長 薄膜構造体の製造方法
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
JP2002256235A (ja) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd 接着シート、半導体装置の製造方法および半導体装置
JP5013353B2 (ja) 2001-03-28 2012-08-29 隆 杉野 成膜方法及び成膜装置
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
JP4720019B2 (ja) 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
DE10222083B4 (de) 2001-05-18 2010-09-23 Samsung Electronics Co., Ltd., Suwon Isolationsverfahren für eine Halbleitervorrichtung
KR100403630B1 (ko) 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3914452B2 (ja) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
JP3759895B2 (ja) 2001-10-24 2006-03-29 松下電器産業株式会社 エッチング方法
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
JP4053326B2 (ja) * 2002-03-27 2008-02-27 東芝松下ディスプレイテクノロジー株式会社 薄膜トランジスタの製造方法
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (ko) 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP2005033023A (ja) 2003-07-07 2005-02-03 Sony Corp 半導体装置の製造方法および半導体装置
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
JP4239750B2 (ja) 2003-08-13 2009-03-18 セイコーエプソン株式会社 マイクロレンズ及びマイクロレンズの製造方法、光学装置、光伝送装置、レーザプリンタ用ヘッド、並びにレーザプリンタ
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP2005129688A (ja) 2003-10-23 2005-05-19 Hitachi Ltd 半導体装置の製造方法
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
JPWO2005076336A1 (ja) * 2004-02-09 2007-08-02 大見 忠弘 半導体装置の製造方法および絶縁膜のエッチング方法
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR20070009729A (ko) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
WO2006069085A2 (en) 2004-12-21 2006-06-29 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060148243A1 (en) 2004-12-30 2006-07-06 Jeng-Ho Wang Method for fabricating a dual damascene and polymer removal
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
KR100689826B1 (ko) 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
JP2006278827A (ja) * 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
KR100745067B1 (ko) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 및 그 형성방법
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (ja) 2005-12-20 2007-07-05 Sharp Corp トレンチ素子分離領域の形成方法、窒化シリコン膜ライナーの形成方法、半導体装置の製造方法
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
JP4877747B2 (ja) * 2006-03-23 2012-02-15 東京エレクトロン株式会社 プラズマエッチング方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (ja) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4153961B2 (ja) * 2006-04-25 2008-09-24 積水化学工業株式会社 シリコンのエッチング方法
JP2007311540A (ja) 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
JP2008103645A (ja) * 2006-10-20 2008-05-01 Toshiba Corp 半導体装置の製造方法
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR100843236B1 (ko) * 2007-02-06 2008-07-03 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100853485B1 (ko) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
JP5135879B2 (ja) 2007-05-21 2013-02-06 富士電機株式会社 炭化珪素半導体装置の製造方法
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR101050454B1 (ko) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 및 그 형성방법
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
CN101393842B (zh) * 2007-09-20 2011-08-17 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8883650B2 (en) * 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP5348374B2 (ja) * 2008-06-23 2013-11-20 第一精工株式会社 電気コネクタ
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
KR101146118B1 (ko) * 2008-12-09 2012-05-16 주식회사 테스 실리콘 산화막의 건식 식각 방법
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR101566922B1 (ko) * 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04315453A (ja) * 1991-04-15 1992-11-06 Fujitsu Ltd 半導体装置の製造方法
JPH10178090A (ja) * 1996-12-13 1998-06-30 Internatl Business Mach Corp <Ibm> 平坦性を改善する酸化物ストリッピング
JP2007110153A (ja) * 2006-12-18 2007-04-26 Ulvac Japan Ltd バッチ式真空処理装置
JP2008205440A (ja) * 2007-01-11 2008-09-04 Applied Materials Inc Nh3−nf3化学による酸化物エッチング
WO2009085958A2 (en) * 2007-12-21 2009-07-09 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017028264A (ja) * 2015-07-23 2017-02-02 ピーエスケー インコーポレイテッド 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
KR20130141639A (ko) 2013-12-26
US20120196447A1 (en) 2012-08-02
KR101931134B1 (ko) 2018-12-20
TW201234477A (en) 2012-08-16
CN103210478A (zh) 2013-07-17
US8741778B2 (en) 2014-06-03
TWI541889B (zh) 2016-07-11
SG189944A1 (en) 2013-07-31
JP5925802B2 (ja) 2016-05-25
WO2012106033A3 (en) 2012-11-29
WO2012106033A2 (en) 2012-08-09
CN103210478B (zh) 2016-06-01

Similar Documents

Publication Publication Date Title
JP5925802B2 (ja) 2段階での均一なドライエッチング
JP6009520B2 (ja) シリコン含有膜の平滑SiConiエッチング
KR101764166B1 (ko) 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각
US9093390B2 (en) Conformal oxide dry etch
US8475674B2 (en) High-temperature selective dry etch having reduced post-etch solid residue
US8435902B2 (en) Invertable pattern loading with dry etch
US8748322B1 (en) Silicon oxide recess etch
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
KR101884262B1 (ko) 실리콘 막들에 대한 선택적인 식각
JP5844390B2 (ja) 遠隔励起式のフッ素および水蒸気エッチング
KR20150056607A (ko) 차등 실리콘 산화물 에칭
JP2013048127A (ja) アッシュ後の側壁の回復

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160329

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160420

R150 Certificate of patent or registration of utility model

Ref document number: 5925802

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250