JP2013529859A - 導電性薄層構造、電気的相互接続および電気的相互接続を形成する方法。 - Google Patents

導電性薄層構造、電気的相互接続および電気的相互接続を形成する方法。 Download PDF

Info

Publication number
JP2013529859A
JP2013529859A JP2013518414A JP2013518414A JP2013529859A JP 2013529859 A JP2013529859 A JP 2013529859A JP 2013518414 A JP2013518414 A JP 2013518414A JP 2013518414 A JP2013518414 A JP 2013518414A JP 2013529859 A JP2013529859 A JP 2013529859A
Authority
JP
Japan
Prior art keywords
graphene
regions
layer structure
region
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013518414A
Other languages
English (en)
Other versions
JP5714104B2 (ja
Inventor
エス. サンデュ,ガーテ
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2013529859A publication Critical patent/JP2013529859A/ja
Application granted granted Critical
Publication of JP5714104B2 publication Critical patent/JP5714104B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53276Conductive materials containing carbon, e.g. fullerenes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2204/00Structure or properties of graphene
    • C01B2204/04Specific amount of layers or specific thickness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

幾つかの実施形態は、電気的相互接続を含む。相互接続は、非グラフェン領域の間に挟まれたグラフェン領域を有する薄層構造を含みうる。幾つかの実施形態においては、グラフェンおよび非グラフェン領域は、お互いの内に入れ子になっていてもよい。幾つかの実施形態においては、電気的に絶縁性の材料が薄層構造の上部表面上に存在し、開口は、薄層構造の一部へと絶縁性材料を通って伸長してもよい。導電性材料は、開口内にあり、薄層構造の非グラフェン領域のうちの少なくとも一つと電気的に接触してもよい。幾つかの実施形態は、入れ子になった非グラフェンおよびグラフェン領域を形成するために、非グラフェン材料とグラフェンがトレンチ内に交互に形成される、電気的相互接続を形成する方法を含む。

Description

導電性薄層構造、電気的相互接続および電気的相互接続を形成する方法。
電気的相互接続は、集積回路の種々のコンポーネントを電気的に相互接続するために使用される可能性がある。
集積回路作製の長期にわたる目標は、回路デバイスの密度を増加させることである。関連する目標は、比較的小さい物理的寸法内に、高電流密度に耐えることが可能な電気的相互接続を開発することである。従来の処理は、電気的相互接続用に、導電性を有するようにドープされた半導体材料(例えば、導電性を有するようにドープされたシリコン、導電性を有するようにドープされたゲルマニウムなど)、金属材料(例えば、銅、アルミニウムなど)および金属含有組成物(例えば、金属シリサイド、金属ナイトライドなど)のうちの一つ以上を利用する。
集積回路がさらに高密度で形成されるにつれて、従来の材料で満足な電気的相互接続を形成することはますます困難になりつつある。したがって、新規の相互接続構造を開発し、かつ、そのような相互接続構造を作製するための方法を開発することが望まれている。
例示的な一実施形態の種々の処理段階における半導体構造の一部の断面図である。 例示的な一実施形態の種々の処理段階における半導体構造の一部の断面図である。 例示的な一実施形態の種々の処理段階における半導体構造の一部の断面図である。 例示的な一実施形態の種々の処理段階における半導体構造の一部の断面図である。 例示的な一実施形態の種々の処理段階における半導体構造の一部の断面図である。 例示的な一実施形態の種々の処理段階における半導体構造の一部の断面図である。 例示的な一実施形態の種々の処理段階における半導体構造の一部の断面図である。 図7の半導体構造の上面図である。図7の断面は、図8の直線7−7に沿ったものである。 図7および図8の後の処理段階における、図1−図7に示された半導体構造の断面図である。 図9の後の処理段階における、図1−図7に示された半導体構造の断面図である。 図9の後の処理段階における、図1−図7に示された半導体構造の上面図である。図10の断面は、図11の直線10−10に沿ったものである。 図10および図11の後の処理段階における、図1−図7に示された半導体構造の断面図である。 図10および図11の後の処理段階における、図1−図7に示された半導体構造の上面図である。図12の断面は、図13の直線12−12に沿ったものである。 図12および図13の後の処理段階における、図1−図7に示された半導体構造の断面図である。 図12および図13の後の処理段階における、図1−図7に示された半導体構造の上面図である。図14の断面は、図15の直線14−14に沿ったものである。 図14および図15の後の処理段階における、図1−図7に示された半導体構造の断面図である。 図14および図15の後の処理段階における、図1−図7に示された半導体構造の上面図である。図16の断面は、図17の直線16−16に沿ったものである。 別の例示的な実施形態の種々の処理段階における半導体構造の一部の断面図である。図18の処理段階は、図10および図11の処理段階の後に続くものである。 別の例示的な実施形態の種々の処理段階における半導体構造の一部の断面図である。 図10および図11の半導体構造の断面側面図であり、別の例示的な実施形態の処理段階を表す。 図10および図11の半導体構造の上面図であり、別の例示的な実施形態の処理段階を表す。図20の断面は、図21の直線20−20に沿ったものである。 図20および図21の後の処理段階における、図20および図21の半導体構造の上面図である。 図20および図21の後の処理段階における、図20および図21の半導体構造の一対の断面側面図である。図23の断面は、図22および図24の直線23−23に沿ったものである。 図20および図21の後の処理段階における、図20および図21の半導体構造の一対の断面側面図である。図24の断面は、図22および図23の直線24−24に沿ったものである。 図22−図24の後の処理段階における、図22−図24の半導体構造の上面図である。 図22−図24の後の処理段階における、図22−図24の半導体構造の一対の断面側面図である。図26の断面は、図25および図27の直線26−26に沿ったものである。 図22−図24の後の処理段階における、図22−図24の半導体構造の一対の断面側面図である。図27の断面は、図25および図26の直線27−27に沿ったものである。 図25−図27の後の処理段階における、図22−図24の半導体構造の上面図である。 図25−図27の後の処理段階における、図22−図24の半導体構造の一対の断面側面図である。図29の断面は、図28および図30の直線29−29に沿ったものである。 図25−図27の後の処理段階における、図22−図24の半導体構造の一対の断面側面図である。図30の断面は、図28および図29の直線30−30に沿ったものである。 例示的な一実施形態に従う、図30の後の処理段階における図28−図30の構造の断面側面図を示す。
幾つかの実施形態は、グラフェンを含む導電性薄層構造を含む。グラフェンは、非グラフェン材料の間に挟まれてもよい。グラフェンに直接相対する非グラフェン材料のうちの少なくとも一つは、金属材料(銅およびニッケルのうちの一つもしくはその双方を含む材料など)であってもよい。グラフェンの広い表面面積は、金属材料に直接相対し、それによって、金属材料とグラフェンとの間の電子の移動性を高める可能性がある。グラフェンと非グラフェン材料の界面における表面面積の量は、トレンチ内にグラフェンと非グラフェン材料とを包囲することによって高められる可能性がある。幾つかの実施形態においては、グラフェンを含む薄層構造へと電気的接点が形成される。接点は、薄層構造の導電性非グラフェン材料と直接接触する導電性材料を提供することによって形成されてもよい。動作においては、薄層構造のグラフェンは、相互接続を介して電流の大部分を伝導し、薄層構造の導電性非グラフェン材料は、薄層構造への回路を電気的に接続するために使用されてもよい。幾つかの実施形態においては、薄層構造は、超高電流密度を支持するために適切である可能性がある。
例示的な実施形態は、図1−図30に関連して記述される。
図1に関連して、半導体構造10は、例示的な一実施形態の処理段階において図示される。半導体構造は、ベース12と、ベース上の電気的に絶縁性の材料14とを含む。
ベース12は、単結晶性シリコンを含むか、本質的に単結晶性シリコンで構成されるか、単結晶性シリコンから成り、かつ、半導体基板もしくは半導体基板の一部として称されてもよい。“半導電性基板”“半導体構造”および“半導体基板”という用語は、半導電性ウェーハ(単独、もしくは他の材料を含むアセンブリのいずれか)、半導電性材料層(単独、もしくは他の材料を含むアセンブリのいずれか)などのバルク半導電性材料を含むがそれに限定はされない、半導電性材料を含む任意の構造を意味する。“基板”という用語は、上述された半導電性基板を含むがそれに限定はされない任意の支持構造のことを称する。ベース12は、均質なものとして示されているが、ベースは、幾つかの実施形態においては、多数の層を含んでもよい。例えば、ベース12は、集積回路作製に関連する一つ以上の層を含む半導体基板に対応してもよい。このような実施形態においては、このような層は、一つ以上の耐熱金属層、バリア層、拡散層、絶縁体層などに対応してもよい。
材料14は、例えば、シリコン二酸化物、シリコン窒化物、ボロホスホシリケートガラス(BPSG)、フルオロシリケートガラス(FSG)、ホスホシリケートガラス(PSG)のうちの一つ以上などの、任意の適切な電気的に絶縁性の組成物を含んでもよい。材料14は均質なものとして示されているが、材料14は幾つかの実施形態においては多数の層を含んでもよい。
トレンチ16は材料14へと伸長する。トレンチ16は、任意の適切な処理で形成されてもよい。例えば、トレンチは、トレンチの位置を画定するために、材料14上に(図示されていない)フォトリソグラフィーによってパターン化されたマスクを提供し、一つ以上の適切なエッチングで材料14へとエッチングし、その後、図1に示された構造を残すためにマスクを除去することによって形成されてもよい。
図2に関連して、材料18は、絶縁性材料14を横切って形成される。材料18はトレンチ16を配列し、それによってトレンチ16内に第二のトレンチ20を形成する。材料18は、非グラフェン材料(換言すると、グラフェンを含まない材料)であってもよい。幾つかの実施形態においては、材料18はその後にグラフェンを上に形成するために適切な導電性材料を含んでもよいし、幾つかの実施形態においては、金属材料を含んでもよい。例えば、材料18は、銅およびニッケルのうちの一つもしくはその双方を含むか、本質的に銅およびニッケルのうちの一つもしくはその双方で構成されるか、銅およびニッケルのうちの一つもしくはその双方から成ってもよい。したがって、材料18は、その後のグラフェンの化学蒸着に適切である可能性がある。幾つかの実施形態においては、材料18は、その上にその後にグラフェンを形成するために適切な電気的に絶縁性の材料を含んでもよい。幾つかの実施形態においては、材料18はシリコンカーバイドを含んでもよい。
図3に関連して、グラフェン22は非グラフェン材料18上に形成される。グラフェン22はトレンチ20を配列し、それによって第二のトレンチ20内に第三のトレンチ24を形成する。
グラフェン22は、任意の適切な方法によって形成されてもよい。例えば、グラフェンは、金属の非グラフェン材料18上に化学蒸着および/もしくは原子層堆積によって形成されてもよい。別の実施例として、グラフェンは、SiC由来のSiの昇華など、シリコンカーバイド材料18から形成されてもよい。
グラフェンは、少なくとも一つの単層の厚さであり、望ましくは、10個の単層の厚さよりも小さい。幾つかの実施形態においては、グラフェンは、5つの単層の厚さよりも小さいか、またはそれに等しく、例えば、おおよそ1つの単層の厚さからおおよそ5つの単層の厚さの間の厚さである可能性がある。幾つかの例示的な用途においては、グラフェン22は、おおよそ3つの単層の厚さであってもよい。グラフェンは、所望の高い導電性を達成するほど十分に薄いことが望まれる。幾つかの実施形態においては、グラフェンは、銅の電流密度よりも約10倍から約100倍大きい電流密度に耐えることができる可能性がある。
図4に関連して、非グラフェン材料26はグラフェン材料22上に形成される。非グラフェン材料26はトレンチ24を配列し、それによって、第三のトレンチ24内に第四のトレンチ28を形成する。非グラフェン材料26は、第一の非グラフェン材料18と区別するために、第二の非グラフェン材料と称されてもよい。幾つかの実施形態においては、材料18および26はお互いに同一の組成を含んでもよいし、他の実施形態においては、材料18および26はお互いに異なる組成を含んでもよい。幾つかの実施形態においては、材料18および26のうちの少なくとも一つは導電性であり、他方は電気的に絶縁性である。例えば、材料18は、その上にグラフェン22を形成するために適切な金属材料を含み、材料26は、シリコン二酸化物、シリコン窒化物などの電気的に絶縁性の材料を含んでもよい。幾つかの実施形態においては、非グラフェン材料18および26のうちの双方が導電性である。
図5に関連して、グラフェン30は非グラフェン材料26上に形成される。グラフェン30は第四のトレンチ28を配列し、それによって、第四のトレンチ内に第五のトレンチ32を形成する。
図6に関連して、非グラフェン材料34はグラフェン材料30上に形成される。非グラフェン材料34は、示された実施形態においてトレンチ32を充填する。他の実施形態においては、非グラフェン材料34はトレンチを部分的にのみ充填し、トレンチ内のグラフェンおよび非グラフェン材料の積層は、トレンチ内に示された数の個別の材料よりも多く形成し続けてもよい。幾つかの実施形態においては、最初のトレンチ16(図1)内に示された数の交互の材料よりも少ない材料が存在してもよい。つまり、非グラフェン材料26は、図4の処理段階においてトレンチ24を部分的に充填するだけであるが、他の実施形態においては、非グラフェン材料26は、トレンチを完全に充填し、最初のトレンチ16内に材料18、22、26のみが存在する。
図6の非グラフェン材料34は、第三の非グラフェン材料と称されてもよい。幾つかの実施形態においては、非グラフェン材料18、26および34は、全てお互いに同一の組成を有してもよいし、他の実施形態においては、非グラフェン材料のうちの少なくとも一つが、他の非グラフェン材料のうちの少なくとも一つと異なる組成を有してもよい。幾つかの実施形態においては、全ての非グラフェン材料が導電性であり、他の実施形態においては、非グラフェン材料のうちの少なくとも一つは電気的に絶縁性であってもよい。
図7に関連して、構造10は、電気的に絶縁性の材料14の表面上から、材料18、22、26、30および34を除去するために、平坦化(例えば、化学機械研磨)に晒される。最初のトレンチ16(図1)内に残存する材料18、22、26、30および34は、ともに導電性相互接続36を形成する。グラフェン材料22および30は、非グラフェン材料18、26および32のうちの一つ以上が電気的に絶縁性であるか否かに関わらず、相互接続36を介して導電性を提供することができる。さらには、導電性の非グラフェン材料18、26および34のうちの任意の材料も、相互接続36内に導電性を提供する。しかしながら、他の材料と比較して、グラフェンの電流密度は高いため、グラフェンに相互接続を通過する電流の大部分を伝導する可能性がある。
相互接続36は、グラフェン領域(つまり、グラフェン22およびグラフェン30を含む領域)と、非グラフェン領域(つまり、非グラフェン材料18、26および34を含む領域)とを含むものと考えられてもよい。相互接続36は、交互のグラフェン領域と非グラフェン領域とを含む、薄層構造であるものと考えられてもよい。
示される実施形態においては、グラフェン領域および非グラフェン領域は、グラフェン領域および非グラフェン領域が種々の入れ子になったトレンチ16、20、24、28および32(図6)の側壁に沿って包囲することによって、お互いの内に入れ子になる。他の実施形態においては、グラフェン領域および非グラフェン領域は、平面であってもよい。しかしながら、図7のグラフェン領域と非グラフェン領域の入れ子配置は、グラフェン領域と直接隣接する非グラフェン領域との間の広範囲の表面界面を提供することができ、それによって、グラフェン領域と直接隣接する非グラフェン領域との間の電子の移動性を高めることが可能である。非グラフェン材料からグラフェンへと電子が通過すること、またはその逆に、グラフェンから非グラフェン材料へと電子が通過することは困難である可能性がある。したがって、グラフェンと非グラフェン材料との間の広い表面界面は、相互接続36の種々の構造の間を電子が通過することを可能にするために都合がよい可能性がある。
図7の実施形態においては、各グラフェン領域は、一対の非グラフェン領域によって挟まれる。他の実施形態においては、少なくとも一つのグラフェン領域は、相互接続の外側の端部に存在し、そのようなグラフェン領域は、一対の非グラフェン領域間に挟まれるのではなく、唯一つの非グラフェン領域に相対する。
図8は、図7の構造の上面図を示し、相互接続36は、直線として構成されることを示す。他の実施形態においては、相互接続36は、図8の線形形状以外の形状を有するように構成されてもよい。
図8の上面図は、相互接続36が非グラフェン領域の露出部35と、グラフェン領域の露出部37とを含む上部表面を有することを示す。
相互接続36へと電気的接点を形成することは困難である可能性がある。なぜなら、グラフェン領域と隣接する導電性材料との間に電気的接続を構築することは困難である可能性があるからである。幾つかの実施形態は、相互接続36の非グラフェン導電性材料へと電気的接点を形成し、その後、相互接続に沿って電子を移動させるために、相互接続のグラフェンへとこのような導電性材料から電子を移動させることが好都合でありうるとの認識を含む。
相互接続36へと電気的接点を形成する例示的な一方法は、図9−図17に関連して記述される。
図9に関連して、電気的に絶縁性の材料40は、相互接続36の上部表面上かつ絶縁性材料14上に形成される。材料40は、例えば、シリコン二酸化物、シリコン窒化物、BPSG、PSG、FSGなどのうちの一つ以上などの任意の適切な組成物、もしくはそのような組成物の組み合わせを含んでもよい。
図10に関連して、接点開口42は、材料40を介してエッチングされ、相互接続36の上部表面を露出させる。開口42は、任意の適切な方法によって形成されてもよい。例えば、(図示されていない)パターン化されたフォトレジストマスクが開口42の位置を画定するために材料40上に提供され、開口は一つ以上の適切なエッチングで形成され、その後、マスクは、図10に示された構造を残すために除去されてもよい。
図11は、図10の構造の上面図を示し、相互接続36のグラフェン含有部37と非グラフェン含有部35とを露出するために、材料40を通って伸長する接点開口42を示す。接点開口42の外側へ伸長する相互接続36の部分は、この部分が材料40の下に存在することを示すために、破線で示される。
図12および図13に関連して、エッチングは、接点開口42内からグラフェン含有領域の少なくとも一部を除去するために使用され、スペース44および46を形成する。エッチングは、任意の適切な化学を利用し、例えば、グラフェンを灰化するために酸化プラズマを使用してもよい。エッチングは、示されるように、非グラフェン領域と比較して、グラフェン含有領域に対して選択的であってもよい。
示された実施形態においては、接点開口42内に露出したグラフェン含有領域のうちの幾らかのみが除去され、それによってグラフェン22およびグラフェン30の部分が図12の断面において、見えたままである。他の実施形態においては、図18および図19に関連して以下に記述されるように、露出されたグラフェン領域全体が除去されてもよい。幾つかの実施形態においては、グラフェン領域内に入れ子になっている非グラフェン領域は、内部非グラフェン領域であると考えられ、グラフェン領域の除去によって形成されるスペースは、このような内部非グラフェン領域を少なくとも部分的に包囲して伸長すると考えられてもよい。幾つかの実施形態においては、一つ以上の非グラフェン材料(例えば、図12に示された実施形態における材料18、26および34のうちの一つ以上)は、開口44および46のうちの一つもしくはその双方を拡大するためにエッチングされてもよい。
スペース44および46の存在によって、相互接続の他の部分と比較して、接点開口42の下にある相互接続36の部分は変化する。つまり、接点開口42の下の相互接続部分は、非グラフェン領域間のスペース44および46を有し、相互接続の他の部分はこのような非グラフェン領域間にグラフェンを有する。
図14および図15に関連して、導電性材料48は、開口42内かつ絶縁性材料40を横切って提供される。導電性材料48は、任意の適切な組成物もしくは組成物の組み合わせを含んでもよく、幾つかの実施形態においては、種々の金属(例えば、銅、アルミニウム、タングステン、チタンなど)、金属含有組成物(例えば、金属シリサイド、金属ナイトライド、金属カーバイドなど)および導電性を有するようにドープされた半導体材料(例えば、導電性を有するようにドープされたシリコン、導電性を有するようにドープされたゲルマニウムなど)のうちの一つ以上を含むか、本質的にそれらから構成されるか、またはそれらで構成されてもよい。
導電性材料48は、スペース44および46内に伸長し、それによって、示された実施形態においては、非グラフェン材料26および34を部分的に包囲して伸長する。
図16および図17に関連して、構造10は、絶縁性材料40上から導電性材料48を除去するために平坦化(例えば、化学機械研磨)に晒されるが、接点開口42内の導電性材料はそのまま残す。接点開口42内の導電性材料48は、電気的接点50を画定する。電気的接点は、非グラフェン領域26および34を部分的に包囲して、スペース44および46内に伸長する導電性材料を有する。
非グラフェン領域26および34のうちの一つもしくはその双方が金属もしくは他の導電性材料を含む実施形態においては、非グラフェン材料26および34を包囲する導電性材料48の伸長は、少なくとも部分的に材料26および34を包囲して伸長する導電性材料が存在しない場合に達成されるであろう接続と比較して、接点50と材料26および34との間に、改良された電気的接続を可能にすることができる。このことは、材料26および34へと電気的接点50から電流を容易に通過させることを可能にすることができる。その後、グラフェン領域と材料26および34との間の広範囲の表面界面を利用することによって、電流は、相互接続36に沿ってグラフェン領域22および30へと通過することができる。電流は、その後、グラフェンの高電流密度によって、相互接続を通って迅速に移動することができ、その後、電流を別の電気的コンポーネントへと方向づけるために、電流は、接点50に類似する別の接点へと移動してもよい。したがって、相互接続36と組み合わせて、示された接点50に類似する一つ以上の接点は、集積回路のコンポーネント間で電流を移動させるための、小型かつ効率的な構造を提供する可能性がある。
図12−図17は、スペース44および46が非グラフェン領域26および34を部分的に包囲して伸長するように形成される一実施形態を示す。他の実施形態においては、エッチングは、接点開口42下の非グラフェン領域26および34の間から、グラフェンを全て除去するために、適切な化学を使用して、適切な期間、実施されてもよい。これによってスペース44および46を形成し、スペース44および46は、接点開口42下にある相互接続36の一部において、非グラフェン領域26および34の全体を包囲して伸長する。
図18は、図12の処理段階に類似する処理段階における構造10を示すが、一実施形態においては、スペース44および46は接点開口42の直下の相互接続36の一部における非グラフェン材料26および34の全体を包囲して伸長するように形成される。図18の構造は、図14に関連して上述された方法と類似する方法でその後処理されて、開口42内に導電性材料を形成する。図19は、導電性材料48が接点開口42内に形成された後の、図18の構造を示す。導電性材料48は、接点開口42下の相互接続36の一部において、非グラフェン領域26および34の全体を包囲して伸長する。(図示されていない)その後の処理においては、導電性材料48は、所望の場合には、図16に関連して上述された処理と類似する処理で、絶縁性材料40上から除去されうる。
図12−図19に関連して上述された処理は、まずスペースを形成するために非グラフェン材料に対して、グラフェンを除去し、その後、スペース内の導電性材料を形成することによって相互接続36へと電気的接点を形成する。相互接続36に対して電気的接点を形成する別の方法は、相互接続を少なくとも部分的に通る穴を開けることであり、相互接続を少なくとも部分的に通って伸長する穴を形成して、その後、穴内に導電性材料を形成する。この方法は、図20−図30に関連して記述される。
図20および図21に関連して、構造10は、図10および図11に関連して上述されたものと同一の処理段階において示される。したがって、構造は、相互接続36の上部表面を露出するために、絶縁性材料40を通って伸長する接点開口42を含む。
図22−図24に関連して、開口42は、一つ以上のエッチングで、相互接続36を通って、絶縁性材料14へと伸長される。示された実施形態においては、開口42は、相互接続36の全体を通って伸長される。(図示されていない)他の実施形態においては、開口42は、相互接続36を部分的にのみ通って伸長されてもよい。
図25−図27に関連して、導電性材料48は、材料40を横切って、開口42内に形成される。導電性材料48は、材料48と領域18、26および34との界面において、領域18、26および34と直接接触する材料48表面の大きさによって、導電性を有する非グラフェン領域18、26および34のうちのいずれかと良好な電気的接触を有する。
図28−図30に関連して、導電性材料48は、開口42内に電気的接点50を形成するために、平坦化(例えば、化学機械研磨)によって材料40の上部表面上から除去される。
その後の処理においては、別の高さの相互接続が半導体基板にわたって形成されてもよい。例えば、図31は、基板の材料14の直上に第一の相互接続構造100を形成し、かつ、第一の相互接続構造の高さよりも上の別の高さに第二の相互接続構造102を形成する材料18、22、26、30および34を示す。導電性材料104は、第二の相互接続構造102を通って伸長し、第二の相互接続構造102を導電性材料48へと電気的に結合し、それによって、第二の相互接続構造102を第一の相互接続構造100へと電気的に結合する。導電性材料104は、銅もしくは任意の他の適切な材料を含んでもよい。
第二の相互接続構造102は、第一の相互接続構造100と同一の材料18、22、26、30および34を含むものとして示されているが、他の実施形態においては、第二の相互接続構造は、第一の相互接続構造とは別の材料を含んでもよい。
示された実施形態においては、第二の相互接続構造102の材料18は、電気的接点50の導電性材料48に直接接触する。他の実施形態においては、第二の相互接続構造の材料18は、電気的に絶縁性の材料によって、接点50から空間をあけられてもよい。幾つかの実施形態においては、第二の相互接続構造102の材料18は、電気的に絶縁性であってもよいし、幾つかの実施形態においては、第二の相互接続構造の材料18は、導電性であってもよい。
上述された種々の方法および構造は、電流が、グラフェンと導電性層の間を、相互接続に沿ってビア内を流れるとき、低接触抵抗を維持することによって、回路における高電流密度を達成するために使用されてもよい。
上述された相互接続は、集積回路において使用されてもよい。このような集積回路は、電子システムへと組み込まれてもよい。電子システムは、例えば、コンピュータ、自動車、飛行機、時計、携帯電話などの多数の電子システムのうちの任意のシステムであってもよい。
図面において、種々の実施形態の特定の方向は、例示的な目的のためだけのものであって、実施形態は、幾つかの用途においては、示された方向に対して回転されてもよい。本明細書で提供された記述およびそれに続く請求項は、その構造が図面の特定の方向にあるか、またはその方向に対して回転されているか否かに関わらず、種々の機構の間で記述された関係を有する任意の構造に関するものである。
添付の図面の断面図は、断面の平面内の機構のみを示し、図面を簡略化するために、断面の平面の後方に存在する材料は示していない。
ある構造が、別の構造の“上に”もしくは“相対して”存在するものとして上記で言及されるときには、他の構造の上に直接存在しうるか、または、中間構造も存在してもよい。対照的に、ある構造が、別の構造の“直上に”もしくは“直接相対して”存在するものとして言及されるときには、中間構造は存在しない。ある構造が、別の構造に対して、“接続されて”いるかまたは“結合されて”いるものとして言及されるときには、他の構造に対して直接接続されるか、または直接結合されうるか、あるいは中間構造が存在してもよい。対照的に、ある構造が、別の構造に対して、“直接接続されて”いるかまたは“直接結合されて”いるものとして言及されるときには、中間構造は存在しない。

Claims (33)

  1. 一対の非グラフェン領域の間に挟まれたグラフェン領域を含む、
    ことを特徴とする導電性薄層構造。
  2. 前記グラフェン領域は、5つのグラフェン単層の厚さよりも小さい、
    ことを特徴とする請求項1に記載の薄層構造。
  3. 前記グラフェン領域は、1つから3つの間のグラフェン単層の厚さである、
    ことを特徴とする請求項1に記載の薄層構造。
  4. 前記複数の非グラフェン領域のうちの少なくとも一つは、導電性である、
    ことを特徴とする請求項1に記載の薄層構造。
  5. 前記複数の非グラフェン領域のうちの少なくとも一つは、電気的に絶縁性である、
    ことを特徴とする請求項1に記載の薄層構造。
  6. 前記グラフェン領域と、複数の非グラフェン領域は、お互いに入れ子になっている、
    ことを特徴とする請求項1に記載の薄層構造。
  7. 前記複数の非グラフェン領域のうちの少なくとも一つは、一つ以上の金属を含む、
    ことを特徴とする請求項6に記載の薄層構造。
  8. 前記複数の非グラフェン領域のうちの少なくとも一つは、銅およびニッケルのうちの一つもしくはその双方を含む、
    ことを特徴とする請求項6に記載の薄層構造。
  9. 導電性薄層構造であって、前記薄層構造は、お互いのうちに入れ子になった複数の領域を含み、前記複数の入れ子領域のうちの一つはグラフェン領域であり、前記複数の入れ子領域のうちの他方は複数の非グラフェン領域であり、前記グラフェン領域は、一対の非グラフェン領域の間に挟まれ、前記薄層構造は、前記グラフェン領域の部分と、前記複数の非グラフェン領域の部分とを含む最上部表面を含み、前記複数の非グラフェン領域のうちの少なくとも一つは導電性である、導電性薄層構造と、
    前記薄層構造の前記上部表面上で、前記薄層構造の一部へとそこを通って伸長する開口を有する電気的に絶縁性の材料であって、前記複数の非グラフェン領域間にグラフェンを有する代わりに、前記一対の非グラフェン領域の間にスペースを有することによって、前記部分は、前記薄層構造の他の複数の部分とは異なる、電気的に絶縁性の材料と、
    前記開口内および前記スペース内の導電性材料と、
    を含む、
    ことを特徴とする電気的相互接続。
  10. 前記複数の非グラフェン領域のうちの前記少なくとも一つは、銅およびニッケルのうちの一つもしくはその双方を含む、
    ことを特徴とする請求項9に記載の電気的相互接続。
  11. 前記導電性材料は、少なくとも一つの金属を含む、
    ことを特徴とする請求項9に記載の電気的相互接続。
  12. 電気的相互接続を形成する方法であって、
    電気的に絶縁性の材料にトレンチを形成するステップと、
    前記トレンチを配列し、かつ前記第一のトレンチ内に入れ子になった第二のトレンチを形成するために、前記トレンチ内に第一の非グラフェン材料を形成するステップと、
    前記第二のトレンチを配列し、かつ、前記第二のトレンチ内に入れ子になった第三のトレンチを形成するために、前記第一の非グラフェン材料上にグラフェンを形成するステップと、
    前記第三のトレンチ内に第二の非グラフェン材料を形成するステップと、
    を含む、
    ことを特徴とする方法。
  13. 前記グラフェンは、5つのグラフェン単層の厚さよりも小さい、
    ことを特徴とする請求項12に記載の方法。
  14. 前記グラフェンは、1つから3つの間のグラフェン単層の厚さである、
    ことを特徴とする請求項12に記載の方法。
  15. 前記第一および第二の非グラフェン材料は、お互いに同一の組成である、
    ことを特徴とする請求項12に記載の方法。
  16. 前記第一の非グラフェン材料は、前記第二の非グラフェン材料とは異なる組成である、
    ことを特徴とする請求項12に記載の方法。
  17. 前記第一の非グラフェン材料は、少なくとも一つの金属を含む、
    ことを特徴とする請求項12に記載の方法。
  18. 前記第一の非グラフェン材料は、銅およびニッケルのうちの一つもしくはその双方を含む、
    ことを特徴とする請求項12に記載の方法。
  19. 前記第二の非グラフェン材料は、前記第三のトレンチを完全に充填する、
    ことを特徴とする請求項12に記載の方法。
  20. 前記第二の非グラフェン材料は、前記第三のトレンチを配列し、かつ、前記第三のトレンチ内に入れ子になった第四のトレンチを形成し、
    前記第四のトレンチを配列し、かつ、前記第四のトレンチ内に入れ子になった第五のトレンチを形成するために、前記第二の非グラフェン材料上にグラフェンを形成するステップと、
    前記第五のトレンチ内に第三の非グラフェン材料を形成するステップと、
    をさらに含む、
    ことを特徴とする請求項12に記載の方法。
  21. 前記第一、第二および第三の非グラフェン材料は、お互いに同一の組成である、
    ことを特徴とする請求項20に記載の方法。
  22. 前記第一、第二および第三の非グラフェン材料のうちの少なくとも一つは、前記第一、第二および第三の非グラフェン材料のうちの他の材料とは異なる組成である、
    ことを特徴とする請求項20に記載の方法。
  23. 前記第一、第二および第三の非グラフェン材料のうちの少なくとも一つは、電気的に絶縁性である、
    ことを特徴とする請求項20に記載の方法。
  24. 電気的相互接続を形成する方法であって、
    導電性薄層構造を形成するステップであって、前記薄層構造は、お互いのうちに入れ子になった複数の領域を含み、前記複数の入れ子領域のうちの一つは、グラフェン領域であり、前記複数の入れ子領域のうちの他方は複数の非グラフェン領域であり、前記グラフェン領域は、一対の非グラフェン領域の間に挟まれ、前記薄層構造は、前記グラフェン領域の部分と前記複数の非グラフェン領域の部分とを含む最上部表面を含み、前記複数の非グラフェン領域のうちの少なくとも一つは導電性である、ステップと、
    前記薄層構造の前記上部表面上に、電気的に絶縁性の材料を形成するステップと、
    前記薄層構造の前記上部表面へと、前記絶縁性材料を通って伸長する開口を形成するステップと、
    前記複数の非グラフェン領域に対して、前記グラフェン領域を選択的に除去するために、前記開口内にエッチャントを提供し、それによって、前記一対の非グラフェン領域の間にスペースを形成するステップと、
    前記開口内、および前記スペース内に導電性材料を形成するステップと、
    を含む、
    ことを特徴とする方法。
  25. 前記グラフェン領域の内部内に入れ子になった前記非グラフェン領域は、内部非グラフェン領域であり、前記スペースは、前記内部非グラフェン領域の全体を包囲して伸長する、
    ことを特徴とする請求項24に記載の方法。
  26. 前記グラフェン領域の内部内に入れ子になった前記非グラフェン領域は、内部非グラフェン領域であり、前記スペースは、前記内部非グラフェン領域を部分的にのみ包囲して伸長する、
    ことを特徴とする請求項24に記載の方法。
  27. 前記複数の非グラフェン領域のうちの双方が導電性である、
    ことを特徴とする請求項24に記載の方法。
  28. 前記複数の非グラフェン領域のうちの唯一つだけが導電性である、
    ことを特徴とする請求項24に記載の方法。
  29. 電気的相互接続を形成する方法であって、
    導電性薄層構造を形成するステップであって、前記薄層構造は、お互いの内に入れ子になった複数の領域を含み、前記複数の入れ子領域のうちの一つはグラフェン領域であり、前記複数の入れ子領域のうちの他方は、複数の非グラフェン領域であり、前記グラフェン領域は、一対の非グラフェン領域の間に挟まれ、前記薄層構造は、前記グラフェン領域の部分と前記複数の非グラフェン領域の部分とを含む最上部表面を含み、前記複数の非グラフェン領域のうちの少なくとも一つは導電性である、ステップと、
    前記薄層構造の前記上部表面上に電気的に絶縁性の材料を形成するステップと、
    前記薄層構造の前記上部表面へと、前記絶縁性材料を通って伸長する開口を形成するステップと、
    前記薄層構造を少なくとも部分的に通って前記開口を伸長するステップと、
    前記開口内に導電性材料を形成するステップと、
    を含む、
    ことを特徴とする方法。
  30. 開口は、前記薄層構造全体を通って伸長する、
    ことを特徴とする請求項29に記載の方法。
  31. 開口は、前記薄層構造を部分的にのみ通って伸長する、
    ことを特徴とする請求項29に記載の方法。
  32. 前記複数の非グラフェン領域のうちの双方が導電性である、
    ことを特徴とする請求項29に記載の方法。
  33. 前記複数の非グラフェン領域のうちの唯一つだけが導電性である、
    ことを特徴とする請求項29に記載の方法。
JP2013518414A 2010-07-09 2011-06-07 導電性薄層構造、電気的相互接続および電気的相互接続を形成する方法。 Active JP5714104B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/833,074 2010-07-09
US12/833,074 US8946903B2 (en) 2010-07-09 2010-07-09 Electrically conductive laminate structure containing graphene region
PCT/US2011/039465 WO2012005851A2 (en) 2010-07-09 2011-06-07 Electrically conductive laminate structures, electrical interconnects, and method of forming electrical interconnects

Publications (2)

Publication Number Publication Date
JP2013529859A true JP2013529859A (ja) 2013-07-22
JP5714104B2 JP5714104B2 (ja) 2015-05-07

Family

ID=45437771

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013518414A Active JP5714104B2 (ja) 2010-07-09 2011-06-07 導電性薄層構造、電気的相互接続および電気的相互接続を形成する方法。

Country Status (7)

Country Link
US (6) US8946903B2 (ja)
JP (1) JP5714104B2 (ja)
KR (2) KR20130098979A (ja)
CN (1) CN103003921B (ja)
SG (1) SG186976A1 (ja)
TW (1) TWI463627B (ja)
WO (1) WO2012005851A2 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946903B2 (en) 2010-07-09 2015-02-03 Micron Technology, Inc. Electrically conductive laminate structure containing graphene region
KR101284059B1 (ko) * 2011-01-28 2013-07-26 충남대학교산학협력단 그라핀-산화물반도체 이종접합 소자 및 그의 제조방법
US8716863B2 (en) 2011-07-13 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high performance interconnect
US9892813B1 (en) * 2012-04-19 2018-02-13 Thomas A. Barkow Graphene/metal molecular level lamination (GMMLL)
JP5987613B2 (ja) * 2012-09-28 2016-09-07 ソニー株式会社 記憶素子、記憶装置、磁気ヘッド
US9202743B2 (en) * 2012-12-17 2015-12-01 International Business Machines Corporation Graphene and metal interconnects
US9293412B2 (en) 2012-12-17 2016-03-22 International Business Machines Corporation Graphene and metal interconnects with reduced contact resistance
WO2014110450A2 (en) * 2013-01-11 2014-07-17 Solan, LLC Methods for integrating lead and graphene growth and devices formed therefrom
US9006095B2 (en) 2013-02-19 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9431346B2 (en) * 2013-04-30 2016-08-30 GlobalFoundries, Inc. Graphene-metal E-fuse
US9257391B2 (en) 2013-04-30 2016-02-09 GlobalFoundries, Inc. Hybrid graphene-metal interconnect structures
CN104779287B (zh) * 2014-01-10 2018-02-02 中国科学院微电子研究所 石墨烯结构、石墨烯器件及其制造方法
WO2015126139A1 (en) * 2014-02-19 2015-08-27 Samsung Electronics Co., Ltd. Wiring structure and electronic device employing the same
US20180330842A1 (en) * 2017-05-15 2018-11-15 The Trustees Of Columbia University In The City Of New York Layered metal-graphene-metal laminate structure
US10658280B2 (en) * 2017-12-29 2020-05-19 Advanced Semiconductor Engineering, Inc. Electrical device including a through-silicon via structure
WO2022243890A1 (en) * 2021-05-18 2022-11-24 Mellanox Technologies, Ltd. Process for laminating graphene-coated printed circuit boards
US11908734B2 (en) * 2021-10-06 2024-02-20 International Business Machines Corporation Composite interconnect formation using graphene

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005060146A (ja) * 2003-08-08 2005-03-10 Sharp Corp 炭素薄膜ならびにそれを用いた電界放出電子源および作用電極
JP2006120730A (ja) * 2004-10-19 2006-05-11 Fujitsu Ltd 層間配線に多層カーボンナノチューブを用いる配線構造及びその製造方法
JP2008544495A (ja) * 2005-06-08 2008-12-04 インテル・コーポレーション カーボンナノチューブ相互接続コンタクト
WO2009060556A1 (ja) * 2007-11-06 2009-05-14 Panasonic Corporation 配線構造及びその形成方法
JP2009164432A (ja) * 2008-01-08 2009-07-23 Fujitsu Ltd 半導体装置の製造方法、半導体装置および配線構造体
US20090283735A1 (en) * 2008-05-16 2009-11-19 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
JP2009277803A (ja) * 2008-05-13 2009-11-26 Fujitsu Ltd 半導体装置、半導体装置の製造方法およびトランジスタ
JP2010135631A (ja) * 2008-12-05 2010-06-17 Fujitsu Microelectronics Ltd 配線構造及びその形成方法、並びに半導体装置
JP2011023420A (ja) * 2009-07-13 2011-02-03 Toshiba Corp 半導体装置
JP2011096980A (ja) * 2009-11-02 2011-05-12 Toshiba Corp 半導体装置およびその製造方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IN155181B (ja) * 1979-12-24 1985-01-12 Chloride Silent Power Ltd
KR930004506A (ko) * 1991-08-29 1993-03-22 티모티 엔. 비숍 실리콘 결정을 성장시키는데 사용되는 유리질 탄소 피복 흑연성분
JPH05175359A (ja) * 1991-12-20 1993-07-13 Fujitsu Ltd ダイヤモンド多層配線基板の製造方法
JP3228155B2 (ja) * 1996-11-20 2001-11-12 日本電気株式会社 固体電解コンデンサの製造方法
JP3157748B2 (ja) * 1997-07-30 2001-04-16 富山日本電気株式会社 導電性高分子を用いた固体電解コンデンサ及びその製造方法
DE10006964C2 (de) 2000-02-16 2002-01-31 Infineon Technologies Ag Elektronisches Bauelement mit einer leitenden Verbindung zwischen zwei leitenden Schichten und Verfahren zum Herstellen eines elektronischen Bauelements
JP4448356B2 (ja) 2004-03-26 2010-04-07 富士通株式会社 半導体装置およびその製造方法
WO2006123810A1 (en) 2005-05-17 2006-11-23 Nippon Shokubai Co., Ltd. Ionic material and application thereof
US20090114883A1 (en) 2005-10-12 2009-05-07 California Institute Of Technology Metal-filled nanostructures
KR20070066426A (ko) 2005-12-22 2007-06-27 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
US20070171596A1 (en) * 2006-01-20 2007-07-26 Chacko Antony P Electrode compositions containing carbon nanotubes for solid electrolyte capacitors
US7619257B2 (en) * 2006-02-16 2009-11-17 Alcatel-Lucent Usa Inc. Devices including graphene layers epitaxially grown on single crystal substrates
WO2008023399A1 (fr) * 2006-08-21 2008-02-28 Fujitsu Limited NANOTUBES DE CARBONE SEMICONDUCTEURS DE TYPE n, PROCÉDÉ DE PRODUCTION DE CEUX-CI, ET PROCÉDÉ DE PRODUCTION DE DISPOSITIFS SEMICONDUCTEURS
JP2010503191A (ja) * 2006-09-04 2010-01-28 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 相互接続構造内におけるカーボンナノ構造成長の制御
KR101443215B1 (ko) * 2007-06-13 2014-09-24 삼성전자주식회사 앰비폴라 물질을 이용한 전계효과 트랜지스터 및 논리회로
US7732859B2 (en) * 2007-07-16 2010-06-08 International Business Machines Corporation Graphene-based transistor
WO2009015287A2 (en) 2007-07-25 2009-01-29 California Institute Of Technology Functional anchors connecting graphene-like carbon to metal
JP2009247451A (ja) 2008-04-02 2009-10-29 Panasonic Electric Works Co Ltd 揺動型運動装置
US8467224B2 (en) * 2008-04-11 2013-06-18 Sandisk 3D Llc Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
US8535553B2 (en) * 2008-04-14 2013-09-17 Massachusetts Institute Of Technology Large-area single- and few-layer graphene on arbitrary substrates
KR101490111B1 (ko) * 2008-05-29 2015-02-06 삼성전자주식회사 에피택셜 그래핀을 포함하는 적층구조물, 상기적층구조물의 형성방법 및 상기 적층구조물을 포함하는전자 소자
US7902616B2 (en) * 2008-06-30 2011-03-08 Qimonda Ag Integrated circuit having a magnetic tunnel junction device and method
US7863700B2 (en) * 2008-06-30 2011-01-04 Qimonda Ag Magnetoresistive sensor with tunnel barrier and method
US8569730B2 (en) * 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US7952088B2 (en) * 2008-07-11 2011-05-31 International Business Machines Corporation Semiconducting device having graphene channel
US8758957B2 (en) * 2008-07-29 2014-06-24 GM Global Technology Operations LLC Graphene coated SS bipolar plates
US7858989B2 (en) * 2008-08-29 2010-12-28 Globalfoundries Inc. Device and process of forming device with device structure formed in trench and graphene layer formed thereover
US7858990B2 (en) * 2008-08-29 2010-12-28 Advanced Micro Devices, Inc. Device and process of forming device with pre-patterned trench and graphene-based device structure formed therein
US20100085713A1 (en) * 2008-10-03 2010-04-08 Balandin Alexander A Lateral graphene heat spreaders for electronic and optoelectronic devices and circuits
KR101480082B1 (ko) * 2008-10-09 2015-01-08 삼성전자주식회사 그라핀을 이용한 양자 간섭 트랜지스터와 그 제조 및 동작 방법
US8421050B2 (en) * 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US8835892B2 (en) * 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
US20100108893A1 (en) * 2008-11-04 2010-05-06 Array Optronix, Inc. Devices and Methods for Ultra Thin Photodiode Arrays on Bonded Supports
US8188460B2 (en) * 2008-11-26 2012-05-29 Board Of Regents, The University Of Texas System Bi-layer pseudo-spin field-effect transistor
US8193455B2 (en) * 2008-12-30 2012-06-05 Hitachi Global Storage Technologies Netherlands B.V. Graphene electronics fabrication
US8147704B2 (en) * 2009-07-10 2012-04-03 Korea University Research And Business Foundation Wide area stamp for antireflective surface
KR101129919B1 (ko) * 2010-04-15 2012-03-23 주식회사 하이닉스반도체 반도체 소자 및 그의 형성 방법
US8946903B2 (en) * 2010-07-09 2015-02-03 Micron Technology, Inc. Electrically conductive laminate structure containing graphene region
JP5637795B2 (ja) * 2010-10-05 2014-12-10 株式会社東芝 装置
JP2012080005A (ja) * 2010-10-05 2012-04-19 Toshiba Corp グラフェン配線およびその製造方法
US8404588B2 (en) * 2010-10-06 2013-03-26 Electronics And Telecommunications Research Institute Method of manufacturing via electrode
US8716863B2 (en) * 2011-07-13 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high performance interconnect
US8361813B1 (en) * 2011-12-09 2013-01-29 Intermolecular, Inc. Method for generating graphene structures
JP5801221B2 (ja) * 2012-02-22 2015-10-28 株式会社東芝 半導体装置の製造方法および半導体装置
JP5755618B2 (ja) * 2012-09-06 2015-07-29 株式会社東芝 半導体装置
JP5624600B2 (ja) * 2012-12-27 2014-11-12 株式会社東芝 配線及び半導体装置の製造方法
JP6246676B2 (ja) * 2014-08-20 2017-12-13 株式会社東芝 半導体装置
CN205110253U (zh) * 2015-09-25 2016-03-30 无锡市博阳超声电器有限公司 一种消噪型超声波清洗装置
CN205275791U (zh) 2016-01-06 2016-06-01 济南中乌新材料有限公司 一种金刚石单晶人工生长装置

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005060146A (ja) * 2003-08-08 2005-03-10 Sharp Corp 炭素薄膜ならびにそれを用いた電界放出電子源および作用電極
JP2006120730A (ja) * 2004-10-19 2006-05-11 Fujitsu Ltd 層間配線に多層カーボンナノチューブを用いる配線構造及びその製造方法
JP2008544495A (ja) * 2005-06-08 2008-12-04 インテル・コーポレーション カーボンナノチューブ相互接続コンタクト
WO2009060556A1 (ja) * 2007-11-06 2009-05-14 Panasonic Corporation 配線構造及びその形成方法
JP2009164432A (ja) * 2008-01-08 2009-07-23 Fujitsu Ltd 半導体装置の製造方法、半導体装置および配線構造体
JP2009277803A (ja) * 2008-05-13 2009-11-26 Fujitsu Ltd 半導体装置、半導体装置の製造方法およびトランジスタ
US20090283735A1 (en) * 2008-05-16 2009-11-19 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
JP2011521455A (ja) * 2008-05-16 2011-07-21 サンディスク スリーディー,エルエルシー カーボンナノフィルム可逆抵抗スイッチング素子およびその形成方法
JP2010135631A (ja) * 2008-12-05 2010-06-17 Fujitsu Microelectronics Ltd 配線構造及びその形成方法、並びに半導体装置
JP2011023420A (ja) * 2009-07-13 2011-02-03 Toshiba Corp 半導体装置
JP2011096980A (ja) * 2009-11-02 2011-05-12 Toshiba Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US20150145135A1 (en) 2015-05-28
US10879178B2 (en) 2020-12-29
US20180261548A1 (en) 2018-09-13
US10679943B2 (en) 2020-06-09
SG186976A1 (en) 2013-02-28
US9997461B2 (en) 2018-06-12
US10141262B2 (en) 2018-11-27
CN103003921A (zh) 2013-03-27
US20190244910A1 (en) 2019-08-08
TW201205765A (en) 2012-02-01
US10381308B2 (en) 2019-08-13
TWI463627B (zh) 2014-12-01
US8946903B2 (en) 2015-02-03
US20190067202A1 (en) 2019-02-28
KR101650281B1 (ko) 2016-08-30
JP5714104B2 (ja) 2015-05-07
WO2012005851A3 (en) 2012-03-29
WO2012005851A2 (en) 2012-01-12
US20190326225A1 (en) 2019-10-24
KR20130098979A (ko) 2013-09-05
CN103003921B (zh) 2015-11-25
KR20150096528A (ko) 2015-08-24
US20120006580A1 (en) 2012-01-12

Similar Documents

Publication Publication Date Title
JP5714104B2 (ja) 導電性薄層構造、電気的相互接続および電気的相互接続を形成する方法。
US20200090994A1 (en) Semiconductor device with reduced via resistance
JP5089575B2 (ja) 相互接続構造体及びその製造方法
CN101924094B (zh) 半导体器件和制造半导体器件的方法
CN101924093B (zh) 半导体器件和制造半导体器件的方法
KR100809330B1 (ko) 게이트 스페이서로 인한 응력이 배제된 반도체 소자 및 그제조 방법
US8110342B2 (en) Method for forming an opening
US8980745B1 (en) Interconnect structures and methods of forming same
JP5305651B2 (ja) 回路の配線構造および集積回路の配線構造の製作方法
US8354343B2 (en) Semiconductor structure and manufacturing method of the same
JP4878434B2 (ja) 半導体装置およびその製造方法
JP2008010534A (ja) 半導体装置およびその製造方法
EP3279931A1 (en) Method for fabricating cu interconnection using graphene
US11551967B2 (en) Via structure and methods for forming the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130215

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121227

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130215

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140516

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140516

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140528

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140616

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140616

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140627

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140718

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140718

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150217

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150310

R150 Certificate of patent or registration of utility model

Ref document number: 5714104

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250