JP2012527752A - ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法 - Google Patents

ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法 Download PDF

Info

Publication number
JP2012527752A
JP2012527752A JP2012511217A JP2012511217A JP2012527752A JP 2012527752 A JP2012527752 A JP 2012527752A JP 2012511217 A JP2012511217 A JP 2012511217A JP 2012511217 A JP2012511217 A JP 2012511217A JP 2012527752 A JP2012527752 A JP 2012527752A
Authority
JP
Japan
Prior art keywords
domain
domains
substrate
opening
poly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012511217A
Other languages
English (en)
Other versions
JP5596133B2 (ja
Inventor
チェン、ジョイ
ナ、ヨンヘ
レイ、カーファイ
レトナー、チャールズ、トーマス
サンダース、ダニエル、ポール
リー、ワイキン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2012527752A publication Critical patent/JP2012527752A/ja
Application granted granted Critical
Publication of JP5596133B2 publication Critical patent/JP5596133B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00388Etch mask forming
    • B81C1/00404Mask characterised by its size, orientation or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】 ブロック共重合体を用いて所定の位置にホール又はビアを有するデバイスを形成する方法を提供する。
【解決手段】 例えば、光リソグラフィを用いて、輪郭を付けられた凸状のセグメントによって与えられる断面を有する開口部を基板内に形成する。開口部の断面は、例えば、重なった円形領域によって与えることができる。側壁は、種々の点で隣接し、そこで突起を画定する。ブロック共重合体を含むポリマーの層を開口部及び基板の上に塗布し、自己集合させる。開口部内に個別分離ドメインが形成され、これらを除去してホールを形成し、これを下層の基板に転写することができる。これらのドメイン及びこれらの対応するホールの位置は、側壁及びそれらに付随する突起によって所定の位置に誘導される。これらのホールを隔てる距離は、何も側壁がない場合にブロック共重合体(及び何れかの添加剤)が自己集合したとする場合よりも大きく又は小さくすることができる。
【選択図】 図4

Description

本発明は、ナノスケール・レベルにおける構造体を形成する方法に関する。より具体的には、本発明は、ブロック共重合体を用いて所定の位置にホール又はビアを有するデバイスを形成することに関する。
半導体デバイスは通常、基板の上に形成された回路網を含む。このデバイスは数層の回路配線からなることがあり、これらの層を互いに接続し、また任意の下層のトランジスタと接続するのに種々の相互接続部が用いられる。一般に、製造プロセスの一部分として、ビア又はコンタクト・ホール(以後、まとめてビアと呼ぶ)が形成され、これらが別の層に転写され、次いで金属で充填されて相互接続部が形成され、その結果、回路の種々の層が互いに電気的に連通する。相互接続部を形成するための従来技術の方法は、一般に、一連のリソグラフィ及びエッチング・ステップに依存してビアの位置及び寸法を定め、これらが次に対応する相互接続部の位置及び寸法を定めることになる。最後に、フォトレジスト及びハードマスクを用いることができる。しかし、大量生産用の通常の光リソグラフィ技術(例えば、193nm乾式及び液浸リソグラフィ)を用いて形成される構造部の寸法はリソグラフィ・ツールの解像度限界に達している。
より小さい限界寸法(CD)、より密な間隔、及びより良好なCD均一性を有するビアの作成は、将来の技術ノードの主要な課題の一つである。しかし、22nmノードを越えるビア・パターンをプリントすることは、通常の光リソグラフィを用いるのでは、高価で複雑な2重パターン化プロセス、解像度向上技術(コンピュータ・リソグラフィ)及び厳しいレイアウト設計制限によっても困難であると予想される。残念ながら、代替のより高い解像度性能を有する非光学的リソグラフィ技術、例えば、電子ビーム・リソグラフィ又は極端紫外リソグラフィ(EUV)などは、どれも、近い将来に大量生産用に準備できるようには思われない。電子ビーム直接書き込みリソグラフィは非常に高い解像度の能力があるが、これは直接書き込み技術であり、必要なウェハ処理レベルを達成して大量生産用に実行可能にすることはできない。EUVリソグラフィ・ツールは長年の間開発中である。しかし、光源、集光系、マスク、及びレジストに関する多くの課題が依然として残っており、EUVリソグラフィの何らかの実用的な実施は数年遅れることになりそうである。
ブロック共重合体(BCP)のパターン化は、より小さな寸法のパターンを形成する課題の可能な解決策として注目を集めてきた。適切な状態において、それら共重合体のブロックはミクロドメイン(「ミクロ相分離ドメイン」又は「ドメイン」としても知られる)に相分離して全自由エネルギーを減少させ、プロセス中に異なる化学組成のナノスケール構造部を形成する。そのような構造部を形成するブロック共重合体の機能により、それらをナノパターン化に用いることが推奨され、より小さなCDを有する構造部を形成することができる点で、これは、別に通常のリソグラフィを用いるのではプリントすることが困難な構造部の構築を可能にするはずである。しかし、通常、基板からの何らかの誘導がなければ、自己集合ブロック共重合体の薄膜内のミクロドメインは空間的に見当合せ又は位置合せされることがない。
空間的な見当合せ及び位置合せの問題に対処するために、有向自己集合(DSA)が利用されている。これは、自己集合の特徴をリソグラフィで画定された基板と組み合せて、特定の自己集合BCPドメインの空間的な配置を制御する方法である。1つのDSA技術はグラフォエピタキシであり、その場合、自己集合は、リソグラフィによりプレパターン化された基板のトポグラフィ的特徴によって誘導される。BCPグラフォエピタキシは、プレパターン自体の寸法よりも小さな特性寸法を有する、サブリソグラフィックな自己集合構造部を与える。
BCPグラフォエピタキシに基づくDSAの幾つかの初期の適用が報告されている。図1に示すように、ブロック共重合体の有向自己集合が通常のリソグラフィ法によって形成されたホールの直径を減少させるのに用いられている(例えば、特許文献1を参照されたい)。この技術によれば、ブロック共重合体を含む溶液が、内部に開口部124を有するトポグラフィ基板120の上に塗布され(図1(A))、それにより開口部が充填される。(明瞭にするために、本明細書の各図面には基板の一部分のみを示す)。次に、ミクロ相分離ドメイン128及び132が、開口部124(図1(B))の内部にアニール・プロセスの結果として形成される。開口部124の中央に形成された個別分離ポリマー・ドメイン132は次いでエッチング・プロセスにより除去され、対応する開口部124より小さなホール136が形成される。しかし、この手法により実現されるパターンの間隔は出発のリソグラフィ・プレパターンの間隔から変化しない(即ち、パターン密度の増加はない)ことに留意されたい。
全体のパターン密度(本明細書においてはより小さなCD及びより小さな間隔に関連する)は、図2(A)に示すように、リソグラフィにより画定されたトレンチ140の内部に自己集合ポリマー・ドメインの配列を作成することによって増加させられた(非特許文献1を参照されたい)。しかし、各々の自己集合ドメイン144の配置は事実上制御されなかった(図2(B))ので、エッチング・プロセスの結果として生成された対応するホール148の最終位置についても制御されなかった(図2(C))。従って、これらのホール148は、ドメインが所定の位置を有する配列を形成せず、これらの位置の標準偏差は、正確な配列から平均中心間ドメイン間隔の10%にもなる大きさで変動し得る(非特許文献2を参照されたい)。この大きさの変動により、そのような有向自己集合法は、配置の標準偏差(シグマ)がCDの3.5%(3[シグマ]−10%)であることを必要とするデバイスのパターン化には不適切となる。
米国特許出願公開第2008/0093743A1号
Cheng他、Applied Physics Letters、 81巻、3657、2002年。 Cheng他、Advanced Materials、18巻、2505、2006年。 Cheng他、Advanced Materials、15巻、1599、2003年。 Cheng他、Nature Materials、3巻、823、2004年。 WilliamK. Pratt、 "Digital Image Processing"、第2版、Wiley−Interscience Publication、ISBN 0−471−85766−1、1991年。 "Introduction to Microlithography"、第2版、Larry F. Thompson、C. Grant Willson 及び Murrae J. Bowden 編著、アメリカ化学会、ワシントンDC、1994年。
図3(A)に示すように、1つ又は複数の広く間隔を空けたくぼみ160をプレパターン化トレンチ(電子ビーム・リソグラフィにより形成された)の側壁に組み込んで、ブロック共重合体ドメインの六角形配列を見当合わせする試みが行われた(非特許文献3及び4を参照されたい)。しかし、このくぼみ160は、ドメイン164(及びそれらの対応するホール168)の所望の位置精度を達成するのに十分な影響を及ぼさず、また対応する配列の六方対称を破ることもなかった。
本明細書において、ビアを構築するDSAパターン化法を開示するが、これは、BCPドメインの小さなCDを利用すると同時に、任意のパターン・レイアウトに対するBCPドメイン配置の正確な制御をもたらし、それにより高解像度のパターン化を可能にする。さらに、通常の光リソグラフィ・ツール及び画像形成材料と両立し得る好ましい方法を開示する。
本明細書で説明するのはブロック共重合体のグラフォエピタキシ法であり、その有向構造部を用いて任意の所定の位置にホールの配列を生成し、これらのホールは様々な用途に有用であるのに十分な精度で配置される。本明細書の好ましい有向自己集合法は、光リソグラフィ法で書き込まれる個別のホールの密度よりも高いホール密度を有し、同時に任意に配置されたホールに対しても正確な見当合わせを実現する、ホール配列を生成するのに用いることができる。この成功は、従来技術とは対照的であり、従来技術では、高々周期的格子構造によって近似的にのみ記述することができる六方充填配列又は正方配列が生成され、そのような配列は半導体デバイスに必要な垂直相互接続レイアウトに対しては有用ではない。
本発明の一態様は、内部に開口部を有する基板を準備することを含む方法である。開口部は、凸型の輪郭を付けられた側壁を含む境界を有し、この側壁が、それらが隣接する場所で突起部を画定する。側壁は基板表面において、各々の平均曲率半径が100nm未満のそれぞれのセグメントによって与えられる断面を有する(この平均曲率半径は異なるセグメントに対して同じでも異なってもよい)。本方法は、その表面の上に、ブロック共重合体を含むポリマーの層を塗布することをさらに含み、ここで共重合体の成分は互いに混じり合わない。ポリマーが開口部内に複数の個別分離ドメインを形成できるようにし(例えば、アニール・プロセスを用いてそれの自己集合を引き起こすことができる)、ここで、i)各々の個別分離ドメインの位置は、少なくとも1つの側壁と、この少なくとも1つの側壁の少なくとも一部分を形成する突起とによって予め決定され、そしてii)ドメインはそれぞれの幾何学的中心を有し、任意の所与のドメインに対して、その中心と所与のドメインの最隣接ドメインの中心とは、a)所与のドメインの位置を予め決定する少なくとも1つの側壁に対応するセグメントの平均曲率半径(又はセグメントの平均曲率半径の平均値)と、b)所与のドメインの最隣接ドメインの位置を予め決定する少なくとも1つの側壁に対応するセグメントの平均曲率半径(又はセグメントの平均曲率半径の平均値)との和よりも小さい距離だけ隔てられる。(即ち、1つの側壁だけがある特定のドメインの位置を決定する場合、対応するセグメントの平均曲率半径を用いてこの和が計算されるが、複数の側壁がある特定のドメインの位置を決定する場合には、それら複数の側壁に対応するセグメントの種々の曲率半径の平均値が用いられる)。隣接するドメインの間隔は、開口部を含まないトポグラフィ的に平坦な基板(又は同様の材料)の上に実現される間隔とは有利に異なり、例えば、3つ又はそれ以上の隣接ドメインの相対位置は、開口部を含まないトポグラフィ的に平坦な基板(又は同様の材料)の上に得られるものとは異なり得る。基板は多くの異なる材料の何れか1つとすることができ、ホールはレジスト、ハードマスク、又は反射防止コーティングの内部に直接に又は複数ステップ・プロセスの一部分として形成することができる。
本発明の別の態様は、基板上に自己集合共重合体パターンを形成する方法である。一つの層が基板内の開口部の上に塗布され、この開口部は少なくとも部分的に種々の有向構造部を画定する境界を有し、塗布される層はブロック共重合体を含む。ブロック共重合体は開口部内に個別分離ドメインを形成することができ(例えば、アニール・プロセスの結果として)、ここで各々の個別分離ドメインの位置は、少なくとも1つの有向構造部によって決定される。これらの有向構造部は開口部の境界内に突起を有利に含むことができる。例えば、ドメインは、構造部が存在しない場合には、特定の密度及び特定の周期性を有する配列を形成し得たとしても、その構造部のために、上記の特定の密度及び特定の周期性によっては特徴付けられないパターンを形成する(例えば、ドメインは上記の特定の密度で与えられるよりも大きな密度を有する可能性がある)。この方法の一実施において、(i)少なくとも4つの個別分離ドメインが開口部内に形成され、そして(ii)有向構造部及び個別分離ドメインを通して取られる任意の所与の断面は、所与の断面内における個別分離ドメインの3つ又はそれ以上の断面の幾何学的中心の間を結ぶ線分によって構成される任意の多角形の完全に内部に存在する個別分離ドメインの断面は有しないことになる。
本発明の別の態様は、内部に開口部を有する表面を有する基板を準備することを含む方法であり、ここで開口部は、重なった実質的に円筒型のホールから形成されたのと等価な境界を有する。ホールの側壁はそれらが隣接する場所で突起を画定し、円筒型ホールの各々は100nm未満の平均曲率半径を有する。ポリマー(ブロック共重合体を含む)の層が表面に塗布され、ここで共重合体の成分は互いに混じり合わない。ポリマーは開口部内に複数の個別分離ドメインを形成することができ、ここで、(i)各々の個別分離ドメインの位置は、少なくとも1つの側壁と、この少なくとも1つの側壁の少なくとも一部分を形成する突起とによって予め決定され、そして(ii)ドメインはそれぞれの幾何学的中心を有し、任意の所与のドメインに対して、その中心と、所与のドメインの最隣接ドメインの中心とは、所与のドメインの位置、及び所与のドメインの最隣接ドメインの位置を予め決定する側壁に対応する円筒型ホールの平均曲率半径の和よりも小さい距離だけ隔てられ、そして(iii)単一の個別分離ドメインが1つの円筒型ホールに対応する開口部の各部分の内部に存在する。本方法は、少なくとも幾つかの個別分離ドメインを除去して、次に基板内に転写されて材料で埋め戻されるホールを形成することをさらに含むことが好ましい。
添付の図1〜図7はそれぞれ平面図又は上面図(3次元図を示す図4(D)を除いて)を示す。
図1(A)、図1(B)、及び図1(C)を含み、基板内の開口部から始めて、より小さなホールを、自己集合ブロック共重合体を用いて形成することができる従来技術を示す。 図2(A)、図2(B)、及び図2(C)を含み、基板内のトレンチから始めて、複数のホールを、自己集合ブロック共重合体を用いて形成することができる従来技術を示す。 図3(A)、図3(B)、及び図3(C)を含み、基板内のトレンチ(内部にくぼみを有する)から始めて、複数のホールを、自己集合ブロック共重合体を用いて形成することができ、くぼみがそれらのホールの格子の見当合わせを決定するが各々のホールの正確な位置は決定しない、従来技術を示す。 図4(A)、図4(B)、図4(C)、及び図4(D)を含み、複数のホールが、ブロック共重合体を含むポリマー・アセンブリを用いてセグメント化プレパターン内に形成され、セグメント化プレパターンの構造部が個別分離BCPドメインを、非セグメント化プレパターン(例えば図2又は図3のような)を用いて達成されるよりも高い精度で配置する、本発明の好ましい態様を示す。 図5(A)、図5(B)、及び図5(C)を含み、複数のホールにより、ブロック共重合体を含むポリマー・アセンブリを用いて、セグメント化プレパターンが形成され、セグメント化プレパターンの構造部が個別分離BCPドメインを、非セグメント化プレパターンを用いて達成されるよりも高い精度で、行及び斜め線の配列に配置する、本発明の好ましい態様を示す。 本発明の種々の実施の走査電子顕微鏡(SEM)写真を示す。 本発明の種々の実施の走査電子顕微鏡(SEM)写真を示す。 本発明の一実施のSEM写真(下のパネル)と比較例(上のパネル)を対照させ、ここで、セグメント化プレパターンの構造部が、個別分離BCPドメインの位置を、非セグメント化プレパターン(上のパネル)を用いて達成されるよりも高い精度で行配列に配置する。
ブロック共重合体グラフォエピタキシ法を本明細書で説明するが、これは規則的配列又は任意の配列のホールの形成につながるものである。好ましい方法は、内部に開口部を有する基板の使用に依拠し、この開口部は、全ての個別のドメインが所定の位置に形成されるように選択されたセグメント化パターンを有するものである。次にこれらの位置にホール(又はビア)が形成され、これらのホールは次に下層の基板に転写することができる。次にこれらのホールは金属材料(例えば、相互接続部を形成するための)などの材料で埋め戻すことができる。本明細書で開示するセグメント化プレパターンは、低次元のトポグラフィ的対象(例えば、直線型トレンチ)を用いて、或は孤立したくぼみを利用して多くても数個の六角形配列ドメインのブロック共重合体ドメインを大雑把に配置する従来技術とは対照的に高度に構造化されたものである。
22nmノードを越えると、光リソグラフィは、各開口部内に単一の自己集合型ホールの形成をもたらす個別の開口部を形成するのに必要な解像度に欠ける可能性がある。大きな無構造のプレパターンが複数の自己集合ドメインを収容して高いパターン密度を達成することができたとしても、それらは各々の自己集合ドメインの最終的位置を十分に制御することができない。本発明の好ましい態様においては、光リソグラフィを用いて1つ又は複数のセグメント化されたプレパターン化開口部を基板内に形成する。セグメント化プレパターン開口部は、多くの有向構造部又は「突起」を有し、これらが各々の自己集合ドメインを方向付け且つその位置を制御して、望ましい配置精度を達成する。そのような基板は、例えば電子ビーム・リソグラフィよりも本質的に低い解像度を有する光リソグラフィを用いて有利に作成することができる。光リソグラフィの状況において、セグメント化プレパターン開口部は、各々が光リソグラフィによって分離することができる寸法の、多くの重なった別々の(典型的には円筒型の)ホールを組み合せた結果であると考えることができる。換言すれば、本発明の好ましい態様はプレパターン開口部を用いてブロック共重合体の自己集合を方向付けるものである。小さな別々の開口部の代りに、大きな構造化開口部を作成し、これが最終的に複数の自己集合ドメインを収容することができ、さらに、この構造化開口部はその側壁内に、各自己集合ドメインの位置を制御するのに十分な有向構造部を有する。
本明細書で説明する本発明の好ましい態様では、セグメント化プレパターン(ある特定のジオメトリの)を有する基板を用いて自己集合ドメインの望ましい配置精度を達成する。(用語「基板」は、本明細書で説明するいずれかの方法によって使用するのに適した、半導体産業において用いられる基板を含むが必ずしもそれに限定されない任意の物理的構造体を指すのに広く用いられる)。一例として、図4(A)は内部に開口部424を有する基板420の平面図を示し、この開口部のジオメトリをこれから説明することになる。図4(D)は対応する3次元表現を示し、これは図4(A)とともに見られたい。開口部424は、基板420内の1つより多くのホールの重なりから生じると考えることができる。重なったホールの各々は形状が円筒型であり、垂直又は実質的に垂直(即ち、基板に対して垂直)な側壁428を有する。開口部424の境界(基板420の表面における)は従って、円筒(垂直の側壁を有する)の場合には、円筒型ホールの基板面への射影である円形の重なりと見なすことができる。開口部424の周囲長(基板420の表面における)は従って、これら重なった円に関連し、各々が曲率半径を有する円弧432によって定められる。換言すれば、図4(A)は、側壁の頂部において(即ち基板420の頂面において)描かれた側壁428の平面断面図と見なすことができる。この平面内の側壁の周囲長は、100nm未満のそれぞれの曲率半径rを有する円弧432によって定められる。
より一般的には、重なったホールは輪郭を付けられて凸型となり得るが理想的な円筒型にはなり得ず、そのため、基板420の表面におけるホールの平均半径を代りに用いることができる。例えば、ホールの断面は円形から逸脱する可能性があり、側壁は傾斜を有するか(円錐型ホール)又はより複雑な形状(例えば、涙滴状ホール)を有し得る。これらのより複雑な場合には、平均曲率半径を、同じ排除体積を有する等価な円筒型ホールの半径として採用することができる。開口部424の側壁428はホールが交差する場所で隣接し、そこで側壁は突起部分又は突起436を画定する。従って、所与の突起436は、2つの隣接する側壁の一部分で且つそれらから形成されたものと見なすことができる。
図4(A)に示すセグメント化プレパターンは円形ホールの重なりから生じるが、実際には、所与の開口部の実際のホールは、レジスト又は他の処理ステップの非理想的な応答を含むリソグラフィの限界のために理想的な円形にはなり得ない。そのような逸脱は本発明の範囲内にあると見なされることを理解されたい。従って、セグメントの平均曲率半径は、所与の位置に平均的に形成されたとする等価な円形開口部の平均曲率半径として採用することができる。換言すれば、そのような開口部の大きな統計的サンプルを作ったとすると、任意の個々のホールは理想的な円形から逸脱し得るが、サンプル全体を見るとこれらの逸脱は平均化されて無くなることになる。或は、非円形の輪郭を有する任意のセグメントに関して、その平均曲率半径はハフ変換に基づくアルゴリズムを用いて決定することができる(例えば、非特許文献5を参照されたい)。
図4(B)に示すように、ブロック共重合体(及び場合により、以下に説明するように他の添加剤)を含む配合物を基板420の上に塗布し、アニールしてドメイン442,446を形成する。図4(B)に示すように、ドメイン442,446は相分離し、ドメイン446はドメイン442によって取り囲まれる。側壁428上に、用いるブロック共重合体のプレパターン及び組成の表面化学に依存して、この相分離プロセスの結果としてのドメイン442に対応するポリマーの薄い被膜(ブラシ層)が存在してもよい(しなくてもよい)。
自己集合個別分離ドメイン446はそれぞれの幾何学的中心を有し、この中心の位置は少なくとも1つの側壁428とその対応する突起436とによって決定される。例えば、ドメイン446aの幾何学的中心の位置は、2つの突起436a1と436a2の間を延びるセグメント432aに対応する側壁により、それら2つの突起を補助として決定される。一方、隣接するドメイン446bの幾何学的中心は、セグメント432bに対応する2つの側壁(それぞれ突起対436a1と436b1の間、及び突起対436a2と436b2の間を延びる)により、それら4つの突起を補助として決定される。従って、それらの突起436を有する側壁428は「有向構造部」と見なすことができ、何故ならそれらはドメイン446の位置(又は方向)を、それぞれの自由エネルギーが最小となる点に予め決定するからである。図4(C)に示すように、ドメイン446は選択的に除去されてホールを形成し、このホールを下層の基板420に転写してコンタクト・ホール又はビア450のパターンを形成することができる。ドメイン446は、例えば、現像プロセス(例えば、それらを塩基水溶液現像剤内で現像するような)を用いることにより、又はそれらを溶媒に溶解させることにより、又はプラズマによってエッチング除去することにより除去することができる。選択されるプロセスは、ドメイン446のポリマーを除去し、一方ドメイン442のポリマーは残す。
図4(A)に示すように、種々のセグメント432は、中心がそれぞれ距離Dだけ隔てられた円の一部分と見なすことができる。実際に、側壁428の周囲長(基板420の表面における)は距離Dとセグメント432に関する曲率半径rとによって定めることができる。さらに個別分離ドメイン446の幾何学的中心は、隣接する個別分離ドメインが、a)所与のドメインの位置を予め決定する(少なくとも1つの)側壁に対応するセグメントの平均曲率半径(又はセグメントの平均曲率半径の平均値(算術平均))と、b)所与のドメインの最隣接ドメインの位置を予め決定する(少なくとも1つの)側壁に対応するセグメントの平均曲率半径(又はセグメントの平均曲率半径の平均値)との和よりも小さい距離dだけ隔てられるように配置される。即ち、1つの側壁だけがある特定のドメインの位置を決定する(ドメイン446aの場合のような)場合には、対応するセグメント(432a)の平均曲率半径を上記の和の計算に用い、しかし複数の側壁がある特定のドメインの位置を決定する(ドメイン446bの場合のような)場合には、それら複数の側壁に対応するセグメント(432b、頂部及び底部)の種々の曲率半径の平均値を用いる。図4(B)に示すように、d<r+r、d<r+r、及びd<r+rである。分離ドメイン446の中心がセグメント432に対応する円の中心に位置する好ましい場合には、D=dとなる。輪郭を付けられた側壁428及びそれらの突起436は光リソグラフィによって形成することができるので、ドメイン446(及びそれらの対応するビア450)は事前選択されたレイアウトによって配置することができる。
図5(A)、図5(B)、及び図5(C)は、個別分離ドメイン546が単一の軸に沿っては整列しないが、「行」及び「斜め線」を形成する、本発明の別の態様を示す。この場合、基板520は、セグメント532に対応する側壁によって画定される開口部524を有し、ドメイン542及び546は、ブロック共重合体溶液を基板上に塗布し、ついで溶液をアニールした結果として形成される。分離ドメイン546は次にエッチング除去し、結果として得られるビア550を下層の基板520に転写することができる。しかし、本発明のこの特定の実施に関して、ドメイン546(ビア550に対応する)は開口部524の外周の内部に限定され、任意の3つ又はそれ以上のドメイン546(又はビア550)の中心間を結ぶ線分により構成される任意の多角形の内部に完全に入るドメイン(又は対応するビア)は存在しないことに留意されたい。より正確に言えば、3つ又はそれ以上のドメインの断面(その平面で定められる)の幾何学的中心を結んで形成される多角形内に、断面が完全に入るドメインは存在しない。
本発明の好ましい態様の利点は、側壁(及びそれらの突起)を光リソグラフィによって形成することができることである。図4及び図5の隣接する個別分離ドメイン446及び546は、対応する円の曲率半径の和よりも小さい(例えば、図4(B)を参照されたい)距離だけ隔てられるので、分離ドメイン446及び546(並びにそれらの対応するホール450及び550)は、別の場合に必要となるよりも低い解像度のリソグラフィにより、所望の架空密度で「プリント」することができる。従って、分離ドメイン446及び546(並びにそれらの対応するホール450及び550)は、ブロック共重合体の任意の特定の対称性又は自然周期性に適合する必要はない。例えば、図5の行を延ばし且つ付加的な行を形成することにより、標準的な正方形グリッドのレイアウトを形成することができる。さらに、隣接する個別分離ドメイン446(又は546)の間の中心間距離は、セグメント432(又は532)に対応する側壁により、又は他の有向構造部によって予め決定することができる。
開口部424及び524によって定められるセグメント化プレパターンは、リソグラフィ技術、例えば、電子ビーム又は光リソグラフィによって有利に形成することができる。電子ビーム・リソグラフィの場合、セグメント化プレパターンはレジスト上に直接生成することができる。光リソグラフィを用いて、開口部424及び524により定められるセグメント化プレパターンを形成する場合には、基板に塗布されるフォトレジストと共に特定のマスクを用い、次いで塗布されたフォトレジストを光放射(例えば、248nm又は193nm)に露光することができる。露光はまた浸漬リソグラフィを用いて実施することもできる。セグメント化プレパターンは、単一の露光プロセス又は複数の露光プロセスを用いて形成することができる。DSAは、ネガティブ・トーン・レジスト・パターンにおいては直接実施することができる。DSAをポジティブ・トーン・レジスト・パターンにおいて実施する場合には、レジスト・パターンがブロック共重合体(及び何れかの他の添加剤)のキャスティング溶媒に不溶となるようにレジスト材料の何らかの処理が必要となり得る。例えば、ポジティブ・トーン・レジスト・パターンを処理するのに、表面架橋剤又は材料を用いることができる。さもなければ、レジスト・パターンを下層の底部反射防止コーティング、ハードマスク層(例えば、酸化物又は窒化物材料)、又は転写層(架橋有機樹脂)内に転写し、次いでレジストを除去し、随意に表面を修飾する。これらのパターンは、一般にブロック共重合体キャスティング溶媒に対して安定であり、付加的な安定化処理は必要としない。
さらに、セグメント化プレパターンは、二重パターン化プロセスによって形成することができる。一実施形態において、パターンを第1のフォトレジスト内に画像化し、下層のハードマスク内に転写する。残った第1のレジストを除去した後、第2のレジストをパターン化されたハードマスクの上にキャストして画像化し、第2のフォトレジスト・パターンを形成する。次いで第2のフォトレジスト・パターンをハードマスク内に転写する。第1及び第2のフォトレジストから転写された(重なった)パターンはハードマスク層内で結合されて単一のセグメント化パターンが形成され、これをブロック共重合体の集合を誘導するに用いることができる。
ひとたび図4(A)及び図5(A)に示す構造体が作成されると、それらの上でジブロック共重合体の自己集合が実施される。このプロセスをここで要約し、後により詳しく説明する。初めに、少なくとも1つのブロック共重合体(BCP)を含むポリマー溶液を調製する。付加的なBCP、ホモポリマー、界面活性剤、及び溶液中の光酸発生剤もまた用いることができる。次にこの溶液を、セグメント化プレパターンを有する基板の上にキャストして、所望の領域内に良好に見当合せされたポリマー・ドメインを形成する。ブロック共重合体の流動性を増すこと(例えば、ベーキング又は溶媒蒸気処理により)が、特定のポリマー、例えばPS−b−PMMA(ポリスチレン(PS)とポリメチルメタクリレートのジブロック共重合体)などには必要となる。ガラス転移温度が室温より低いブロック共重合体に対しては、自発的な自己集合が起こり得る。付加的なアニール(熱アニール、熱勾配アニール、溶媒蒸気アニール又は何らかの他の勾配場を含む)を随意に用いてあらゆる欠陥を除去することができる。最後に、少なくとも1つの自己集合ポリマー・ドメインを選択的に除去してホールを生成し、これを次に下層の基板に転写することができる。例えば、2層(レジスト及び転写層)及び3層(レジスト、ハードマスク層、転写層)機構が可能である(例えば、非特許文献6を参照されたい)。パターン現像及びパターン転写の前に、自己集合ポリマーを随意に化学的に修飾して、パターン転写に必要な特性、例えばエッチング耐性又は特定の機械的特性などを向上させることができる。
本明細書で用いる共重合体は1つより多くのモノマー種から誘導されるポリマーである。本明細書で用いるブロック共重合体は、1つより多くのモノマー種を含み、モノマーがブロックで存在する共重合体である。モノマーの各ブロックはモノマーの繰り返し配列を含む。ブロック共重合体を表す式(1)を以下に示す。
(1) −(A)a−(B)b−(C)c−(D)d−……−(Z)z
式中、A、B、C、DからZまではモノマー単位を表し、添字“a”、“b”、“c”、“d”から“z”までは、それぞれA、B、C、DからZまでの繰り返し単位の数を表す。上記の典型的な式は、本発明において用いるブロック共重合体の構造を限定するものではない。共重合体の上記のモノマーは、個々に、又は本発明の方法によりそれらの組合せで用いることができる。
ジブロック共重合体は2つの異なるポリマーのブロックを有する。ジブロック共重合体を表す式(2)を以下に示す。
(2) −(A)m−(B)n
式中、添字“m”及び“n”は、それぞれA及びBの繰り返し単位の数を表す。ジブロック共重合体の表記はA−b−Bのように簡略化することができ、ここでAは第1のブロックのポリマーを表し、Bは第2のブロックのポリマーを表し、−b−は、それがA及びBのブロックのジブロック共重合体であることを示す。例えば、PS−b−PMMAはポリスチレン(PS)とポリメチルメタクリレート(PMMA)のジブロック共重合体を表す。線状のブロック共重合体に加えて、他の構造を有するブロック共重合体、例えば、星形共重合体、分岐共重合体、超分岐共重合体、及びグラフト共重合体もまたDSA用に用いることができる。
ブロックは、一般に、別の異種のブロックを結合することができる任意の適当なミクロドメイン形成ブロックとすることができる。ブロックは種々異なる重合性モノマーから誘導することができ、ここでブロックは、それらに限定されないが、ポリジエンを含むポリオレフィン、ポリ(アルキレンオキシド)(例えば、ポリ(エチレンオキシド)、ポリ(プロピレンオキシド)、ポリ(ブチレンオキシド)、又はそれらのランダム又はブロック共重合体)を含むポリエーテル、ポリ((メタ)アクリレート)、ポリスチレン、ポリエステル、ポリ有機シロキサン、及びポリ有機ゲルマンなどを含むことができる。
ブロック共重合体のブロックは、モノマーとしてC2−30のオレフィンモノマー、C1−30のアルコールから誘導される(メタ)アクリレートモノマー、Fe、Si、Ge、Sn、Al、Tiをベースとするものを含む無機含有モノマー、又は、少なくとも1つの上記のモノマーを含んだ組合せを含むことができる。ブロック内に使用するモノマーには、C2−30のオレフィンモノマーとして、エチレン、プロピレン、1−ブテン、1,3−ブタジエン、イソプレン、酢酸ビニル、ジヒドロピラン、ノルボルネン、無水マレイン酸、スチレン、4−ヒドロキシスチレン、4−アセトキシスチレン、4−メチルスチレン、又はα−メチルスチレンを含めることができる。モノマーには、(メタ)アクリレートモノマーとして、メチル(メタ)アクリレート、エチル(メタ)アクリレート、n−プロピル(メタ)アクリレート、イソプロピル(メタ)アクリレート、n−ブチル(メタ)アクリレート、イソブチル(メタ)アクリレート、n−ペンチル(メタ)アクリレート、イソペンチル(メタ)アクリレート、ネオペンチル(メタ)アクリレート、n−ヘキシル(メタ)アクリレート、シクロヘキシル(メタ)アクリレート、イソボルニル(メタ)アクリレート、又はヒドロキシエチル(メタ)アクリレートを含めることができる。これらのモノマーの2つ又はそれ以上の組合せを用いることができる。ホモポリマーであるブロックには、スチレンを用いて調製されたブロック(例えば、ポリスチレン・ブロック)、又は、ポリ(メチルメタクリレート)などの(メタ)アクリレートのホモポリマー・ブロックを含めることができる。ランダム・ブロックには、例えば、ランダムに共重合させたスチレンとメチルメタクリレートのブロック(例えば、ポリ(スチレン−co−メチルメタクリレート))を含めることができる。代替の共重合体ブロックには、スチレンと無水マレイン酸のブロックを含めることができ、これは、無水マレイン酸が殆どの条件下でホモポリマーを形成できないために、スチレン−無水マレイン酸2分子繰り返し構造体を形成することが知られている(例えば、ポリ(スチレン−alt−無水マレイン酸))。そのようなブロックは例示的なものであり、それらに限定されるものと考えるべきではない。
さらに、本方法において使用するのに適切である得るブロック共重合体には、ジブロック又はトリブロック共重合体、例えば、ポリ(スチレン−b−ビニルピリジン)、ポリ(スチレン−b−ブタジエン)、ポリ(スチレン−b−イソプレン)、ポリ(スチレン−b−メチルメタクリレート)、ポリ(スチレン−b−アルケニル芳香族)、ポリ(イソプレン−b−エチレンオキシド)、ポリ(スチレン−b−(エチレン−プロピレン))、ポリ(エチレンオキシド−b−カプロラクトン)、ポリ(ブタジエン−b−エチレンオキシド)、ポリ(スチレン−b−t−ブチル(メタ)アクリレート)、ポリ(メチルメタクリレート−b−t−ブチルメタクリレート)、ポリ(エチレンオキシド−b−プロピレンオキシド)、ポリ(スチレン−b−テトラヒドロフラン)、ポリ(スチレン−b−イソプレン−b−エチレンオキシド)、ポリ(スチレン−b−ジメチルシロキサン)、ポリ(メチルメタクリレート−b−ジメチルシロキサン)、又は上記のブロック共重合体の少なくとも1つを含む組合せを含めることができる。
ブロック共重合体は、さらに別の処理に適した全分子量及び多分散性を有することが望ましい。例えば、ブロック供重合体は3,000乃至400,000g/molの重量平均分子量(Mw)を有することができる。同様に、ブロック供重合体は1,000乃至200,000の数平均分子量(Mn)を有することができる。ブロック供重合体はまた、1.01乃至6の多分散性(Mw/Mn)を有することができるが、特にそれに限定されるものではない。分子量、Mw及びMnの両方は、例えば、ゲル浸透クロマトグラフィにより、ポリスチレン標準で較正された一般的な較正法を用いて決定することができる。
ブロック共重合体配合物は、基板上に、例えば約1rpm乃至約10,000rpmの回転速度でスピン・コーティングすることにより、乾燥後プロセスを用いて又は用いずに、塗布することができる。他のプロセス、例えば、浸漬コーティング及び噴霧コーティングなどを用いてブロック共重合体配合物を基板に塗布することもできる。
本明細書で用いる「相分離」は、ブロック共重合体のブロックが、「ミクロドメイン」及び簡単に「ドメイン」とも呼ばれる個別ミクロ相分離ドメインを形成する傾向を指す。同じモノマーのブロックは凝集してドメインを形成し、ドメインの間隔及び形態は、ブロック共重合体内の異なるブロックの相互作用、体積分率、及び数に依存する。ブロック共重合体のドメインは、例えばスピン・キャスティング・ステップ中などそれらを基板に塗布する際に自発的に生じ得るか又はアニール・ステップの結果として生じ得る。「加熱」又は「ベーキング」は、基板及びその上の被覆層の温度を周囲温度よりも高くする一般的プロセスである。「アニール」には、「熱アニール」、「熱勾配アニール」、「溶媒蒸気アニール」、又は他のアニール法を含めることができる。「熱アニール」は「熱硬化」と呼ばれる場合もあるが、相分離を引き起こすのに用いられ、さらに、横方向のミクロ相分離ドメインの層内の欠陥を減少させるか又は除去するためのプロセスとして用いることができる。これは一般的に、ブロック共重合体のガラス転移温度より高い高温で、ある時間の間(例えば、数分乃至数日)加熱することを含む。
使用できる溶媒は、ブロック共重合体成分及びもしあれば種々の添加剤の溶解度要件によって変わる。これらの成分及び添加剤のための例示的なキャスティング溶媒には、酢酸プロピレングリコールモノメチルエーテル(PGMEA)、プロピオン酸エトキシエチル、アニソール、乳酸エチル、2−ヘプタノン、シクロヘキサノン、酢酸アミル、γ−ブチロラクトン(GBL)、及びトルエンなどが含まれる。
添加剤は、付加的なポリマー(ホモポリマー、星形ポリマー及び共重合体、超分岐ポリマー、ブロック共重合体、グラフト共重合体、超分岐共重合体、ランダム共重合体、架橋性ポリマー、及び無機含有ポリマーを含む)、小分子、ナノ粒子、金属化合物、無機含有分子、界面活性剤、光酸発生剤、熱酸発生剤、塩基抑制剤、硬化剤、架橋剤、鎖延長剤、及び上記の少なくとも1つを含む組合せ、から成る群から選択することができ、その場合、1つ又は複数の添加剤はブロック共重合体と共に集合して、1つ又は複数の自己集合ドメインの一部分を形成する。
本明細書で用いる基板は、本明細書で説明する方法の何れかによって用いるのに適した、半導体産業で用いられる基板を含むがそれに限定されない物理的構造体である。これは物理的ボディ(例えば、層又はラミネート、材料など)を含み、その上に材料(例えば、ポリマー、ポリマー材料、金属、酸化物、誘電体など)を堆積又は付着させることができるものである。本明細書における基板は、半導体材料、絶縁材料、導電性材料、又はそれらの多層構造体を含んだ任意の組合せを含むことができる。従って、例えば、基板はSi、SiGe、SiGeC、SiC、GaAs、InAs、InP及び他のIII/V又はII/VI化合物半導体などの半導体材料を含むことができる。基板は、例えば、シリコン・ウェハ、又は集積半導体ウェハのような半導体製造プロセスの種々のステップにおいて作成される加工ウェハを含むことができる。基板は、例えば、Si/SiGe、Si/SiC、シリコン・オン・インシュレータ(SOI)又はシリコンゲルマニウム・オン・インシュレータ(SGOI)などの層状基板を含むことができる。基板は、誘電体層、SiCなどの銅用の障壁層、銅などの金属層、二酸化ハフニウム層、シリコン層、酸化シリコン層など、又はそれらの組合せなどのうちの1つ又は複数の層を含むことができる。基板は、例えば有機絶縁体、無機絶縁体、又はそれらの多層を含む組合せなどの絶縁材料を含むことができる。基板は、例えば、多結晶シリコン(ポリSi)、元素金属、元素金属の合金、金属シリサイド、金属窒化物、又はそれらの多層を含む組合せ、などの導電性材料を含むことができる。基板はイオン注入領域、例えば、基板の表面に対してP型又はN型拡散活性を有するイオン注入ソース/ドレーン領域を含むことができる。
以下の実施例においては、電子ビーム・リソグラフィを用いて基板内に開口部を生成した。この目的のために電子ビーム・リソグラフィを選択した理由は、高価なフォトマスクを作成するか又は最新の193nm浸漬リソグラフィ・ツールを用いる必要なしに多数の異なるテスト・パターンを迅速に作成することが可能なためである。しかし、セグメント化プレパターンは、最新の光リソグラフィ・ツールによりプリントすることができるサイズの円形パターンの重ね合わせによって作成した。従って、これらの実施例又は適切な等価物は光リソグラフィを用いて再現することができる。
セグメント化プレパターンは、電子ビーム・リソグラフィを用いてZEP/酸化シリコン/シリコン積層体上のZEPレジストを露光することにより作成した。ZEPを現像した後、パターンを反応性イオン・エッチングにより酸化物内に35nmエッチングした。その後の酸化物表面の洗浄及びポリスチレン−(ランダム)−ポリメチルメタクリレート(PS−r−PMMA)共重合体ブラシによる修飾によって、中性表面(即ち、ブロック共重合体ドメインのいずれによっても優先的に濡れることのない表面)を生成した。ポリスチレン−(ブロック)−ポリメチルメタクリレート(PS−b−PMMA、68kg/mole−34kg/mole)及びPS(22kg/mole)の酢酸プロピレングリコールモノメチルエーテル(PGMEA)溶液を、酸化物層内のセグメント化プレパターンの上にスピンキャストし、200℃で5分間ベークした。PMMAドメインは各々の半閉鎖の中央に集合した。次いで酢酸を用いて選択的にPMMAを除去することにより自己集合ホールを作成した。
線状(図4におけるような)及び屈曲(図5におけるような)セグメント化プレパターンに基づく有向ポリマー自己集合体の両方の走査電子顕微鏡(SEM)写真を図6に示す。図6(両方のパネル)は自己集合ポリマー・ドメインの正確な配置を示し、その場合それらのピッチ(隣接するドメインの幾何学的中心の間の距離)は75nmから95nmまで5nm刻みで変化する(左から右に向かって)。図6(上のパネル)はこれらのドメインが軸に沿って配列したことを示し、一方図6(下のパネル)は連続した自己集合ホールがノコギリ歯状のパターン(互いに90度に配置された仮想線分によって定められる)を形成できることを示す。
任意に配列させた自己集合ホールの構造はまた、図7のSEM顕微鏡写真に示すように、特別調整したセグメント化プレパターンを用いて実現することができる。
図8は2つの有向自己集合実験からのSEM像を示す。上のパネルは200nm×60nmの長方形トレンチ内のビアを示し、一方、下のパネルは最大幅が60nmの円形セグメントを含む長さ260nmの開口部内のビアを示す。それぞれの場合において、PS−b−PMMAとPSの混合物[PS−b−PMMA(46kg/mol−21kg/mol):PS=8:2重量比]の1%PGMEA溶液を基板の上に塗布し、200℃で5分間ベークした。酢酸を用いてPMMAを除去することによりビアを形成した。上のパネルの長方形トレンチ(200nm×60nm)は、中心間の間隔が37nm(σ=4.1nm)の有向自己集合ビアを示し、この間隔は、開口部を有しない平坦基板上に達成される中心間の間隔41nmに近い。一方、下のパネルの輪郭を付けられた開口部(260nm×60nm、最大幅)は、多少より大きな中心間の間隔60nmを示すが、標準偏差はより小さい(σ=2nm)。この実施例は、有向構造部が有向自己集合ビアの間隔に及ぼす効果、即ち適切に輪郭を付けられた開口部は強い有向効果を有することを示す。
本発明は、その趣旨及び本質的な特徴から逸脱することなく、他の特定の形態で具体化することができる。説明された実施形態は、全ての点において、単なる例であり、制限的なものとはみなされない。従って、本発明の範囲は、上記の説明ではなく、特許請求の範囲により示される。特許請求の範囲の均等物の意味及び範囲内にある全ての変更は、その範囲に含まれるべきである。
120、420、520:基板
124、424、524:開口部
128:ミクロ相分離ドメイン
132:ミクロ相分離ドメイン、個別分離ポリマー・ドメイン
136、148,168:ホール
140:トレンチ
144:自己集合ドメイン
160:くぼみ
164:ドメイン
428:側壁
432、532:円弧、セグメント
432a、432b:セグメント
436、436a1、436a2、436b1、436b2:突起
442、542:ドメイン
446,446a、446b、546:個別分離ドメイン
450、550:ホール、ビア

Claims (23)

  1. 内部に開口部がある表面を有する基板であって、前記開口部は凸状の輪郭を付けられた側壁を含み、前記側壁はそれらが隣接する場所において突起を画定し、前記側壁は前記基板の表面において、それぞれの平均曲率半径が100nm未満であるそれぞれのセグメントによって与えられる断面を有する、前記基板を準備するステップと、
    前記表面の上に、ブロック共重合体を含むポリマーであって、前記共重合体の成分は互いに混じり合わない、ポリマーの層を塗布するステップと、
    前記ポリマーが、前記開口部の内部に複数の個別分離ドメインを形成できるようにするステップと、
    を含み、
    i)前記各々の個別分離ドメインの位置は、少なくとも1つの側壁と、前記少なくとも1つの側壁の少なくとも一部分に形成される突起とによって予め決定され、
    ii)前記ドメインはそれぞれの幾何学的中心を有し、任意の所与のドメインに関して、その中心と、前記所与のドメインの最隣接ドメインの中心とは、a)前記所与のドメインの前記位置を予め決定する前記少なくとも1つの側壁に対応するセグメントの平均曲率半径(又は前記セグメントの前記平均曲率半径の平均値)と、b)前記所与のドメインの最隣接ドメインの前記位置を予め決定する前記少なくとも1つの側壁に対応するセグメントの平均曲率半径(又は前記セグメントの前記平均曲率半径の平均値)との和よりも小さい距離だけ隔てられる、
    方法。
  2. 前記隣接するドメインの間隔は、前記開口部を含まないトポグラフィ的に平坦な基板(又は同様の材料)の上に実現される場合の間隔とは異なる、請求項1に記載の方法。
  3. 3つ又はそれ以上の隣接するドメインの相対的位置は、前記開口部を含まないトポグラフィ的に平坦な基板(又は同様の材料)の上に得られる場合の間隔とは異なる、請求項1に記載の方法。
  4. 前記それぞれのセグメントは円弧を含む、請求項1に記載の方法。
  5. 前記側壁は前記表面に対して実質的に垂直である、請求項1に記載の方法。
  6. 前記それぞれのセグメントは異なる平均曲率半径を有する、請求項1に記載の方法。
  7. 前記それぞれのセグメントは同じ平均曲率半径を有するように選択される、請求項1に記載の方法。
  8. 少なくとも幾つかの前記個別分離ドメインを除去してホールを生成するステップと、前記ホールを前記基板内に転写するステップとをさらに含む、請求項1に記載の方法。
  9. 前記基板内のホールを導電性材料で埋め戻して相互接続部を形成するステップを含む、請求項8に記載の方法。
  10. 前記ホールは、レジスト、ハードマスク、又は反射防止コーティング内に形成される、請求項8に記載の方法。
  11. 前記ブロック共重合体は、ポリ(スチレン−b−ビニルピリジン)、ポリ(スチレン−b−ブタジエン)、ポリ(スチレン−b−イソプレン)、ポリ(スチレン−b−メチルメタクリレート)、ポリ(スチレン−b−アルケニル芳香族)、ポリ(イソプレン−b−エチレンオキシド)、ポリ(スチレン−b−(エチレン−プロピレン))、ポリ(エチレンオキシド−b−カプロラクトン)、ポリ(ブタジエン−b−エチレンオキシド)、ポリ(スチレン−b−t−ブチル(メタ)アクリレート)、ポリ(メチルメタクリレート−b−t−ブチルメタクリレート)、ポリ(エチレンオキシド−b−プロピレンオキシド)、ポリ(スチレン−b−テトラヒドロフラン)、及び上記のブロック共重合体の組合せから成る群から選択される、請求項1に記載の方法。
  12. 光リソグラフィを用いて前記開口部を形成するステップを含む、請求項1に記載の方法。
  13. 前記ポリマーは、アニール・プロセスの結果として前記個別分離ドメインを形成する、請求項1に記載の方法。
  14. 基板内の開口部の上に層を塗布するステップであって、前記開口部は少なくとも部分的に種々の有向構造部によって定められる境界を有し、前記塗布される層はブロック共重合体を含む、前記塗布するステップと、
    前記ブロック共重合体が、前記開口部内に複数の個別分離ドメインを形成できるようにするステップと
    を含み、
    前記個別分離ドメインの各々の位置は、少なくとも1つの前記構造部によって決定される、
    方法。
  15. 前記有向構造部は前記開口部の前記境界における突起を含む、請求項14に記載の方法。
  16. 少なくとも4つの個別分離ドメインが形成される、請求項14に記載の方法。
  17. 前記ドメインは、前記構造部が存在しない場合には特定の密度及び特定の周期性を有する配列を形成することになるが、前記構造部のために、前記特定の密度及び前記特定の周期性によっては特徴付けられないパターンを形成することになる、請求項14に記載の方法。
  18. 前記ドメインは前記特定の密度よりも大きい密度を有する、請求項17に記載の方法
  19. (i)前記開口部内に少なくとも4つの個別分離ドメインが形成され、(ii)前記有向構造部及び前記個別分離ドメインを通して取られる任意の所与の断面は、前記所与の断面内の3つ又はそれ以上の前記個別分離ドメインの断面の幾何学的中心の間を結ぶ線分によって作られる任意の多角形の内部に完全に入る個別分離ドメインの断面を有しない、請求項14に記載の方法。
  20. 前記ポリマーは、アニール・プロセスの結果として前記個別分離ドメインを形成する、請求項14に記載の方法。
  21. 少なくとも幾つかの前記個別分離ドメインを選択的に除去して、次に前記基板に転写され、材料で埋め戻されるホールを作成するステップを含む、請求項14に記載の方法。
  22. 内部に開口部がある表面を有する基板であって、前記開口部は重なった実質的に円筒型のホールから形成されたのと等価な境界を有し、前記ホールの側壁はそれらが隣接する場所において突起を画定し、前記円筒型のホールの各々は100nm未満の平均曲率半径を有する、前記基板を準備するステップと、
    前記表面の上に、ブロック共重合体を含むポリマーであって、前記共重合体の成分は互いに混じり合わない、ポリマーの層を塗布するステップと、
    前記ポリマーが、前記開口部の内部に複数の個別分離ドメインを形成できるようにするステップと、
    を含み、
    i)前記各々の個別分離ドメインの位置は、少なくとも1つの側壁と、前記少なくとも1つの側壁の少なくとも一部分に形成される突起とによって予め決定され、
    ii)前記ドメインはそれぞれの幾何学的中心を有し、任意の所与のドメインに関して、その中心と、前記所与のドメインの最隣接ドメインの中心とは、前記所与のドメイン及び前記所与のドメインの前記最隣接ドメインの前記位置を予め決定する前記側壁に対応する前記円筒型ホールの平均曲率半径の和よりも小さい距離だけ隔てられ、
    iii)前記円筒型ホールのうちの一つに対応する前記開口部の各部分の内部に単一の個別分離ドメインが存在する、
    方法。
  23. 少なくとも幾つかの前記個別分離ドメインを除去して、次に前記基板内に転写され、材料で埋め戻されるホールを形成するステップをさらに含む、請求項22に記載の方法。
JP2012511217A 2009-05-19 2010-04-23 ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法 Expired - Fee Related JP5596133B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/468,391 2009-05-19
US12/468,391 US8398868B2 (en) 2009-05-19 2009-05-19 Directed self-assembly of block copolymers using segmented prepatterns
PCT/EP2010/055412 WO2010133422A2 (en) 2009-05-19 2010-04-23 Directed self-assembly of block copolymers using segmented prepatterns

Publications (2)

Publication Number Publication Date
JP2012527752A true JP2012527752A (ja) 2012-11-08
JP5596133B2 JP5596133B2 (ja) 2014-09-24

Family

ID=43123882

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012511217A Expired - Fee Related JP5596133B2 (ja) 2009-05-19 2010-04-23 ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法

Country Status (6)

Country Link
US (1) US8398868B2 (ja)
EP (1) EP2379441B1 (ja)
JP (1) JP5596133B2 (ja)
CN (1) CN102428022B (ja)
TW (1) TW201115622A (ja)
WO (1) WO2010133422A2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012134353A (ja) * 2010-12-22 2012-07-12 Toshiba Corp パターン形成方法及び装置
JP2013164436A (ja) * 2012-02-09 2013-08-22 Tokyo Ohka Kogyo Co Ltd コンタクトホールパターンの形成方法
WO2014077303A1 (ja) * 2012-11-16 2014-05-22 株式会社 日立ハイテクノロジーズ 画像処理装置、自己組織化リソグラフィ技術によるパターン生成方法、及びコンピュータープログラム
JP2014157974A (ja) * 2013-02-18 2014-08-28 Toshiba Corp ガイドパターンデータ補正方法、プログラム、及びパターン形成方法
JP2015004745A (ja) * 2013-06-19 2015-01-08 株式会社東芝 パターン形成方法
KR101772038B1 (ko) 2013-03-15 2017-08-28 에이에스엠엘 네델란즈 비.브이. 블록 공중합체의 자가-조립에 의해 기판에 리소그래피 피처들을 제공하는 방법들
JP2017524760A (ja) * 2014-06-11 2017-08-31 アルケマ フランス スチレン及びメチルメタクリレートに基づくナノ構造化ブロック共重合体フィルムの周期をコントロールする方法、及びナノ構造化ブロック共重合体フィルム
US9804107B2 (en) 2013-12-27 2017-10-31 Hitachi High-Technologies Corporation Pattern measurement device and computer program for evaluating patterns based on centroids of the patterns
KR101860250B1 (ko) 2014-02-23 2018-05-21 도쿄엘렉트론가부시키가이샤 반도체 기판들에서 콘택트들을 생성하기 위한 방법
JP2019500457A (ja) * 2015-12-18 2019-01-10 アルケマ フランス ブロックコポリマー秩序膜の構造化時間を短縮するための方法
JP2019502790A (ja) * 2015-12-18 2019-01-31 アルケマ フランス ブロックコポリマーの秩序膜における欠陥を減少させるための方法
JP2019505614A (ja) * 2015-12-18 2019-02-28 アルケマ フランス ブロックコポリマー秩序膜の限界寸法均一性を向上させるための方法
JP2019507199A (ja) * 2015-12-18 2019-03-14 アルケマ フランス ブロックコポリマーを含む、大きな周期の厚い秩序膜を得るための方法

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH699836B1 (de) * 2007-09-18 2010-05-14 Ct Concept Holding Ag Leiterkarte und Verfahren zum Herstellen einer solchen Leiterkarte.
US8114306B2 (en) * 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
KR20120126725A (ko) 2011-05-12 2012-11-21 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
FR2975823B1 (fr) * 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
EP2736931A1 (en) * 2011-07-29 2014-06-04 Wisconsin Alumni Research Foundation Block copolymer materials for directed assembly of thin films
US8728714B2 (en) 2011-11-17 2014-05-20 Micron Technology, Inc. Methods for adhering materials, for enhancing adhesion between materials, and for patterning materials, and related semiconductor device structures
US20130200498A1 (en) * 2012-02-03 2013-08-08 Applied Materials, Inc. Methods and apparatus for lithography using a resist array
EP2812369A1 (en) 2012-02-10 2014-12-17 E. I. Du Pont de Nemours and Company Preparation, purification and use of high-x diblock copolymers
WO2013120052A1 (en) 2012-02-10 2013-08-15 E. I. Du Pont De Nemours And Company Preparation, purification and use of high-x diblock copolymers
CN104105729B (zh) 2012-02-10 2016-10-26 纳幕尔杜邦公司 高-x两嵌段共聚物的制备、纯化和使用
EP2642341A2 (en) * 2012-03-22 2013-09-25 Koninklijke Philips N.V. Manufacturing method of an apparatus for the processing of single molecules
WO2013152928A1 (en) 2012-04-13 2013-10-17 Asml Netherlands B.V. Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
WO2013158527A1 (en) * 2012-04-16 2013-10-24 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
JP5948129B2 (ja) * 2012-04-26 2016-07-06 東京応化工業株式会社 2個以上の孤立ホールが並んでなるパターンの形成方法
US9298870B1 (en) 2012-05-16 2016-03-29 International Business Machines Corporation Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates
JP5818760B2 (ja) 2012-09-07 2015-11-18 株式会社東芝 パターン形成方法
JP5887244B2 (ja) * 2012-09-28 2016-03-16 富士フイルム株式会社 パターン形成用自己組織化組成物、それを用いたブロックコポリマーの自己組織化によるパターン形成方法、及び自己組織化パターン、並びに電子デバイスの製造方法
JP6088800B2 (ja) * 2012-11-07 2017-03-01 株式会社東芝 パターン形成方法
US8656322B1 (en) 2013-01-18 2014-02-18 International Business Machines Corporation Fin design level mask decomposition for directed self assembly
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
WO2014139795A1 (en) * 2013-03-15 2014-09-18 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9012270B2 (en) * 2013-03-15 2015-04-21 Globalfoundries Inc. Metal layer enabling directed self-assembly semiconductor layout designs
US9005875B2 (en) 2013-03-15 2015-04-14 Intel Corporation Pre-patterned hard mask for ultrafast lithographic imaging
JP5802233B2 (ja) 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
CN105264642B (zh) 2013-04-03 2018-03-09 布鲁尔科技公司 用于定向自组装的嵌段共聚物中的高度耐蚀刻的聚合物嵌段
JP6059608B2 (ja) * 2013-06-12 2017-01-11 株式会社東芝 パターン形成方法
WO2015006604A1 (en) * 2013-07-11 2015-01-15 Kla-Tencor Corporation Identifying registration errors of dsa lines
WO2015035088A1 (en) 2013-09-05 2015-03-12 Applied Materials, Inc Methods and apparatus for forming a resist array using chemical mechanical planarization
WO2015032588A1 (en) 2013-09-06 2015-03-12 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
US9136140B2 (en) 2013-09-12 2015-09-15 United Microelectronics Corp. Patterning method
US9053923B2 (en) 2013-11-05 2015-06-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including topographical features for directed self-assembly
US10642152B2 (en) * 2013-11-08 2020-05-05 Asml Netherlands B.V Methodology to generate a guiding template for directed self-assembly
US9111067B2 (en) * 2013-11-18 2015-08-18 Mentor Graphics Corporation Grouping layout features for directed self assembly
EP3095127B1 (en) 2014-01-16 2020-05-20 Brewer Science, Inc. High-chi block copolymers for directed self-assembly
US9305800B2 (en) 2014-02-20 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly including lithographically-printable assist features
KR20150101875A (ko) 2014-02-27 2015-09-04 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
JP2015170723A (ja) * 2014-03-06 2015-09-28 Jsr株式会社 パターン形成方法及び自己組織化組成物
US9385026B2 (en) 2014-05-08 2016-07-05 GlobalFoundries, Inc. Sublithographic Kelvin structure patterned with DSA
US10739673B2 (en) 2014-06-20 2020-08-11 Taiwan Semiconductor Manufacturing Company Limited Preparing patterned neutral layers and structures prepared using the same
US9520270B2 (en) * 2014-07-25 2016-12-13 Tokyo Eelctron Limited Direct current superposition curing for resist reflow temperature enhancement
KR102225696B1 (ko) * 2014-09-01 2021-03-12 에스케이하이닉스 주식회사 연결 배선 구조체 형성 방법
JP2016058698A (ja) 2014-09-12 2016-04-21 株式会社東芝 パターン形成方法、半導体装置の製造方法およびテンプレート
US9305834B1 (en) * 2014-12-30 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures
US9530662B2 (en) 2015-02-25 2016-12-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly including a substantially periodic array of topographical features that includes etch resistant topographical features for transferability control
KR102350587B1 (ko) 2015-04-23 2022-01-14 삼성전자 주식회사 미세 패턴 형성 방법
US9815947B2 (en) 2015-10-30 2017-11-14 E I Du Pont De Nemours And Company Substantially symmetrical 3-arm star block copolymers
US9569578B1 (en) 2015-12-14 2017-02-14 International Business Machines Corporation Mask decomposition and optimization for directed self assembly
WO2018112121A1 (en) 2016-12-14 2018-06-21 Brewer Science Inc. High-chi block copolymers for directed self-assembly

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007313568A (ja) * 2006-05-23 2007-12-06 Kyoto Univ 微細構造体、パターン媒体、及びそれらの製造方法
JP2008036491A (ja) * 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> パターン形成方法及びモールド
WO2008097736A2 (en) * 2007-02-08 2008-08-14 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
JP2010053263A (ja) * 2008-08-29 2010-03-11 Hitachi Ltd 微細構造を有する高分子薄膜およびパターン基板の製造方法
JP2011515537A (ja) * 2008-03-21 2011-05-19 マイクロン テクノロジー, インク. 等しい優先性で両ブロックを湿潤にするために、制約を受ける上部界面を有するブロック共重合体膜の熱アニーリング

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6630404B1 (en) * 2001-03-14 2003-10-07 Advanced Micro Devices, Inc. Reducing feature dimension using self-assembled monolayer
US6805809B2 (en) * 2002-08-28 2004-10-19 Board Of Trustees Of University Of Illinois Decal transfer microfabrication
US6989324B2 (en) * 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
JP3926360B2 (ja) * 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US8133534B2 (en) * 2004-11-22 2012-03-13 Wisconsin Alumni Research Foundation Methods and compositions for forming patterns with isolated or discrete features using block copolymer materials
US7347953B2 (en) * 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7605081B2 (en) * 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
US7790045B1 (en) * 2006-09-13 2010-09-07 Massachusetts Institute Of Technology Formation of close-packed sphere arrays in V-shaped grooves
KR100771886B1 (ko) * 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US7384852B2 (en) * 2006-10-25 2008-06-10 International Business Machines Corporation Sub-lithographic gate length transistor using self-assembling polymers
US7514339B2 (en) * 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US8372295B2 (en) * 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7675137B2 (en) * 2007-07-26 2010-03-09 International Business Machines Corporation Electrical fuse having sublithographic cavities thereupon
US8105960B2 (en) * 2007-10-09 2012-01-31 International Business Machines Corporation Self-assembled sidewall spacer
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7906031B2 (en) * 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8114306B2 (en) * 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
KR20120126725A (ko) * 2011-05-12 2012-11-21 에스케이하이닉스 주식회사 반도체 소자의 형성 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007313568A (ja) * 2006-05-23 2007-12-06 Kyoto Univ 微細構造体、パターン媒体、及びそれらの製造方法
JP2008036491A (ja) * 2006-08-03 2008-02-21 Nippon Telegr & Teleph Corp <Ntt> パターン形成方法及びモールド
WO2008097736A2 (en) * 2007-02-08 2008-08-14 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
JP2010522643A (ja) * 2007-02-08 2010-07-08 マイクロン テクノロジー, インク. サブリソグラフィックパターニングのためにブロック共重合体自己集合を使用する方法
JP2011515537A (ja) * 2008-03-21 2011-05-19 マイクロン テクノロジー, インク. 等しい優先性で両ブロックを湿潤にするために、制約を受ける上部界面を有するブロック共重合体膜の熱アニーリング
JP2010053263A (ja) * 2008-08-29 2010-03-11 Hitachi Ltd 微細構造を有する高分子薄膜およびパターン基板の製造方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012134353A (ja) * 2010-12-22 2012-07-12 Toshiba Corp パターン形成方法及び装置
JP2013164436A (ja) * 2012-02-09 2013-08-22 Tokyo Ohka Kogyo Co Ltd コンタクトホールパターンの形成方法
US10732512B2 (en) 2012-11-16 2020-08-04 Hitachi High-Tech Corporation Image processor, method for generating pattern using self-organizing lithographic techniques and computer program
WO2014077303A1 (ja) * 2012-11-16 2014-05-22 株式会社 日立ハイテクノロジーズ 画像処理装置、自己組織化リソグラフィ技術によるパターン生成方法、及びコンピュータープログラム
JP2014099568A (ja) * 2012-11-16 2014-05-29 Hitachi High-Technologies Corp 画像処理装置、自己組織化リソグラフィ技術によるパターン生成方法、及びコンピュータープログラム
KR20170028459A (ko) * 2012-11-16 2017-03-13 가부시키가이샤 히다치 하이테크놀로지즈 화상 처리 장치, 자기 조직화 리소그래피 기술에 의한 패턴 생성 방법 및 컴퓨터 프로그램
KR102165735B1 (ko) * 2012-11-16 2020-10-14 주식회사 히타치하이테크 화상 처리 장치, 자기 조직화 리소그래피 기술에 의한 패턴 생성 방법 및 컴퓨터 프로그램
JP2014157974A (ja) * 2013-02-18 2014-08-28 Toshiba Corp ガイドパターンデータ補正方法、プログラム、及びパターン形成方法
KR101772038B1 (ko) 2013-03-15 2017-08-28 에이에스엠엘 네델란즈 비.브이. 블록 공중합체의 자가-조립에 의해 기판에 리소그래피 피처들을 제공하는 방법들
JP2015004745A (ja) * 2013-06-19 2015-01-08 株式会社東芝 パターン形成方法
US9804107B2 (en) 2013-12-27 2017-10-31 Hitachi High-Technologies Corporation Pattern measurement device and computer program for evaluating patterns based on centroids of the patterns
KR101860250B1 (ko) 2014-02-23 2018-05-21 도쿄엘렉트론가부시키가이샤 반도체 기판들에서 콘택트들을 생성하기 위한 방법
JP2017524760A (ja) * 2014-06-11 2017-08-31 アルケマ フランス スチレン及びメチルメタクリレートに基づくナノ構造化ブロック共重合体フィルムの周期をコントロールする方法、及びナノ構造化ブロック共重合体フィルム
JP2019505614A (ja) * 2015-12-18 2019-02-28 アルケマ フランス ブロックコポリマー秩序膜の限界寸法均一性を向上させるための方法
JP2019507199A (ja) * 2015-12-18 2019-03-14 アルケマ フランス ブロックコポリマーを含む、大きな周期の厚い秩序膜を得るための方法
JP2019502790A (ja) * 2015-12-18 2019-01-31 アルケマ フランス ブロックコポリマーの秩序膜における欠陥を減少させるための方法
JP2019500457A (ja) * 2015-12-18 2019-01-10 アルケマ フランス ブロックコポリマー秩序膜の構造化時間を短縮するための方法

Also Published As

Publication number Publication date
WO2010133422A2 (en) 2010-11-25
EP2379441B1 (en) 2012-10-10
US8398868B2 (en) 2013-03-19
EP2379441A2 (en) 2011-10-26
JP5596133B2 (ja) 2014-09-24
US20100294740A1 (en) 2010-11-25
CN102428022A (zh) 2012-04-25
CN102428022B (zh) 2015-04-15
WO2010133422A3 (en) 2011-05-12
TW201115622A (en) 2011-05-01

Similar Documents

Publication Publication Date Title
JP5596133B2 (ja) ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法
CN107210197B (zh) 用于嵌段共聚物的定向自组装的混杂形貌和化学预制图案
JP5579494B2 (ja) ポリマの指向性自己組織化を利用するサブリソグラフィ構造の形成方法
US9159558B2 (en) Methods of reducing defects in directed self-assembled structures
US8715917B2 (en) Simultaneous photoresist development and neutral polymer layer formation
US8623458B2 (en) Methods of directed self-assembly, and layered structures formed therefrom
US8828493B2 (en) Methods of directed self-assembly and layered structures formed therefrom
US8226838B2 (en) Method of forming polymer features by directed self-assembly of block copolymers
US9107291B2 (en) Formation of a composite pattern including a periodic pattern self-aligned to a prepattern
US9810980B1 (en) Graphoepitaxy directed self assembly
US9029271B2 (en) Methods of patterning block copolymer layers
JP6045746B2 (ja) 誘導自己組織化ケモエピタキシ用途において有機フィルムを除去するためのトラック処理
TW201935521A (zh) 在基材上形成化學引導結構的方法及化學磊晶方法
US9613807B2 (en) Methods for fabricating integrated circuits using directed self-assembly chemoepitaxy
JP2018160537A (ja) パターン形成方法
KR20090036031A (ko) 반도체 소자의 패턴 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140722

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140806

R150 Certificate of patent or registration of utility model

Ref document number: 5596133

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees