JP2012515432A - シリコン貫通電極(tsv)を露出させ接触させる高歩留まりの方法 - Google Patents

シリコン貫通電極(tsv)を露出させ接触させる高歩留まりの方法 Download PDF

Info

Publication number
JP2012515432A
JP2012515432A JP2011544872A JP2011544872A JP2012515432A JP 2012515432 A JP2012515432 A JP 2012515432A JP 2011544872 A JP2011544872 A JP 2011544872A JP 2011544872 A JP2011544872 A JP 2011544872A JP 2012515432 A JP2012515432 A JP 2012515432A
Authority
JP
Japan
Prior art keywords
wafer
backside
back side
vias
blind
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011544872A
Other languages
English (en)
Other versions
JP5662947B2 (ja
Inventor
アンドレイ、ポール、ステファン
ツァン、コーネリア、カン−アイ
スプロジス、エドマンド、ジュリス
コッテ、ジョン、マイケル
トルネッロ、ジェイムズ、アンソニー
ラファロ、マイケル、フランシス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2012515432A publication Critical patent/JP2012515432A/ja
Application granted granted Critical
Publication of JP5662947B2 publication Critical patent/JP5662947B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 シリコン貫通電極を露出させ接触させるための高歩留まりの技法を提供する。
【解決手段】 前側および裏側を有する本体を有する主ウェハを含むアセンブリを取得する。主ウェハは、裏側より上で終端する複数のブラインド電気バイアを有する。ブラインド電気バイアは、導電コアを有し、コアの隣接する側方領域および端部領域に周囲絶縁体を有する。ハンドラ・ウェハは、主ウェハの本体の前側に固定されている。追加のステップは、裏側でブラインド電気バイアを露出させることを含む。ブラインド電気バイアは、裏側全体で様々な高さに露出される。別のステップは、裏側に第1の化学機械研磨プロセスを適用して、露出ステップの後に残っているコアの端部領域に隣接した周囲絶縁体を開放すると共に、バイア導電コア、コアの側方領域に隣接した周囲絶縁体、および主ウェハの本体を同一平面にすることを含む。更に別のステップは、裏側をエッチングして、裏側全体でバイアの各々の均一なスタンドオフ高さを与えることを含む。更に、裏側全体に誘電体を堆積し、裏側に第2の化学機械研磨プロセスを適用して、バイアの導電コアに隣接した誘電体のみを開放する。
【選択図】 図9

Description

本発明は、一般に電気および電子の分野に関し、更に具体的には、シリコン貫通電極(TSV:through−silicon via)に関する。
シリコン貫通電極(TSV)は、シリコン・ウェハまたはダイを完全に通過する垂直電気接続である。TSV技術は、例えば、3次元(3D)パッケージおよび3D集積回路の生成において重要である。
Akram等の米国特許出願公開第2007−0257373号は、ブラインド・ウェハ相互接続ならびに関連する構造およびアセンブリを形成する方法を開示する。基板構造の裏側の面から対向する面上のボンド・パッドの下側までブラインド・ウェハ相互接続(BWI:blind wafer interconnect)を形成するための方法は、裏側の面から止まり穴を形成し、それにパッシベーション層を形成し、止まり穴底部からパッシベーション材料を除去し、止まり穴内に少なくとも1つの導電層を堆積し、止まり穴にはんだまたは他の導電材料もしくは誘電材料を充填することを含む。
Akram等の米国特許出願公開第2007−0132105号は、アルミニウム、銅、およびタングステン構造の選択的な活性化を開示する。金属めっきのために中間半導体デバイス構造上の金属構造を活性化する方法は、半導体基板上に少なくとも1つの第1の金属構造および少なくとも1つの第2の金属構造を含む中間半導体デバイス構造を設けることを含む。少なくとも1つの第1の金属構造は、少なくとも1つのアルミニウム構造、少なくとも1つの銅構造、またはアルミニウムおよび銅の混合物を含む少なくとも1つの構造を含み、少なくとも1つの第2の金属構造は、少なくとも1つのタングステン構造を含む。少なくとも1つの第1の金属構造および少なくとも1つの第2の金属構造の一方は、金属めっきのために活性化され、少なくとも1つの第1の金属構造および少なくとも1つの第2の金属構造の他方は活性化されない。また、中間半導体デバイス構造も開示される。
Oliver等の米国特許出願公開第2006−0042952号は、バイア内の相互接続およびかかる相互接続を含むマイクロエレクトロニック被加工物を形成するための方法を開示する。最初に、被加工物全体を薄くすることなく被加工物の裏側部分から材料の大部分を除去することによって、ブラインド・バイアを形成することができる。大部分除去プロセスでは、例えば、被加工物内の中間の深さまで延出するが導電要素のコンタクト面までは延出しない第1の開口を形成することができる。第1の開口を形成した後、第1の開口における中間の深さから導電要素のコンタクト面まで第2の開口を形成する。第2の開口は、第1の開口の第1の幅より小さい第2の幅を有する。この方法は、更に、ブラインド・バイアに導電材料を充填し、続いて外側から被加工物をキャビティが除去されるまで薄くすることを含む。
シリコン貫通電極(TSV)技術のための裏側薄化および処理(Theron Rowbothamの修士論文、M.S.E.E.、アーカンソー大学2006年、国際論文要録の修士要録45/06巻3254ページで入手可能)は、チップ・スタッキング用途向けにシリコン貫通電極(TSV)を生成するために行われた研究について論じている。この論文は、シリコン貫通電極の生成に伴う処理ステップ3つについて論じる。すなわち、ウェハ・ボンディング、ウェハ薄化、および裏側からのブラインド・バイア露出である。
米国特許出願公開第2007−0257373号 米国特許出願公開第2007−0132105号 米国特許出願公開第2006−0042952号 米国特許第5,244,143号 米国特許第5,775,569号 米国特許第7,322,424号
シリコン貫通電極(TSV)技術のための裏側薄化および処理(Theron Rowbothamの修士論文、M.S.E.E.、アーカンソー大学2006年、国際論文要録の修士要録45/06巻3254ページ)
本発明により解決される課題の一つは、シリコン貫通電極を露出させ接触させるための高歩留まりの技法を提供することである。
本発明の原理は、シリコン貫通電極を露出させ接触させるための高歩留まりの技法を提供する。例示的な方法は、前側および裏側を有する本体を有する主ウェハとハンドラ・ウェハ(handler wafer)とを含むアセンブリを取得するステップを含む。主ウェハは、裏側より上で(すなわちシリコン・ウェハの本体内で)終端する複数のブラインド電気バイアを有する。ブラインド電気バイアは、導電コアを有し、コアの隣接する側方領域および端部領域に周囲絶縁体を有する。ハンドラ・ウェハは、主ウェハの本体の前側に固定されている。追加のステップは、裏側でブラインド電気バイアを露出させることを含む。ブラインド電気バイアは、裏側全体で様々な高さに露出される。別のステップは、裏側に第1の化学機械研磨プロセスを適用して、露出ステップの後に残っているコアの端部領域に隣接した周囲絶縁体を開放すると共に、バイア導電コア、コアの側方領域に隣接した周囲絶縁体、および主ウェハの本体を同一平面にすることを含む。更に別のステップは、裏側をエッチングして、裏側全体でバイアの各々の均一なスタンドオフ高さを与えることを含む。更に、裏側全体に誘電体を堆積し、裏側に第2の化学機械研磨プロセスを適用して、バイアの導電コアに隣接した誘電体のみを開放する。
本発明のこれらおよび他の目的、特徴、および利点は、その例示的な実施形態の以下の詳細な説明を添付図面と関連付けて読むことによって明らかとなろう。
本発明の一態様に従った例示的なプロセスのための例示的な開始点を示し、この開始点は、ブラインド・バイア、デバイス、配線、および上面パッドを有し、ハンドラ・ウェハに結合された、完全に処理されたシリコン・ウェハを含む。 上述の例示的なプロセスにおける裏側処理ステップの例示的なシーケンスを示す。 上述の例示的なプロセスにおける裏側処理ステップの例示的なシーケンスを示す。 上述の例示的なプロセスにおける裏側処理ステップの例示的なシーケンスを示す。 上述の例示的なプロセスにおける裏側処理ステップの例示的なシーケンスを示す。 上述の例示的なプロセスにおける裏側処理ステップの例示的なシーケンスを示す。 上述の例示的なプロセスにおける裏側処理ステップの例示的なシーケンスを示す。 上述の例示的なプロセスにおける裏側処理ステップの例示的なシーケンスを示す。 上述の例示的なプロセスにおける裏側処理ステップの例示的なシーケンスを示す。 本発明の追加の態様に従ったTSVの例示的な横断面を示す。
本発明の1つ以上の実施形態のための開始点は、完全に処理されたシリコン・ウェハであり、このウェハは、ブラインド・バイア(通例、シリコン・ウェハの本体内で、上側より下だがウェハ裏側より上の深さで終端する導電金属コアを有する絶縁バイア)、ならびに、FETまたはバイポーラ・デバイス、配線層、および端子ボンディング・パッド等の標準的なマイクロエレクトロニクス製造において見られる特徴のいずれかの組み合わせを含む。更に、この完全に処理されたウェハは、多数の標準的な技法のいずれか1つを用いて別のハンドラ・ウェハに結合されていることは理解されよう。それらの技法は、限定ではないが、冶金ボンディング(例えばCuまたはAu熱圧縮)、酸化物ボンディング、または接着剤ボンディングを含むことができる。かかる多くの技法は当業者には既知であり、利用可能である。1つ以上の実施形態においては、ポリイミド・ベースの接着剤を用いて、350℃のオーダーの温度で、完全に処理されたシリコン・ウェハにガラス・ウェハを結合する。この動作の最終結果は、図1に示すような、接着剤222によってウェハ202にボンディングされたガラス230を含む、ボイドの無い界面および頑丈に結合されたウェハ対である。限定でない例示的な実施形態において、接着剤の深さBは約5ミクロンとし、ガラス230の厚さAは約700ミクロンとすることができる。
図面に関して、「上」および「下」の使用について一貫性を保つため、特に図面に示すような最初の前および裏の面に関して、1つ以上の実施形態においては、処理はブラインド・バイア(定義上、前面の下および裏面の上)から開始し、最終的には露出させて、金属が裏面より下になる(すなわち、それ「から突き出る」)または裏面と同一平面になるようにする。「上」および「下」等の言葉を用いる場合、要素が重力ベクトルに対していずれかの特定の方向に向いていなければならないことは必ずしも意味しない。更に、いくつかの例においては、バイアは裏面に対してのみ「ブラインドである」ことがある。更に別の説明として、バイアは、上および下からアクセスすることができない場合はいつでも厳密にいえばブラインドである。最初のシリコン・ウェハでは、それらは上パッドからアクセスできるが下からはできないのでブラインドである。ハンドラ230が取り付けられると、厳密にいえばそれらは両側からブラインドである、すなわち埋め込まれている。本明細書における例のように露出させた場合、それらはハンドラがあるために実際にはブラインドのままであるが、最初のウェハの観点からは、露出させた後は貫通バイアである。ハンドラは最後に(すなわち図9の後)取り外すことができ、その後は上パッドおよび下パッドにアクセスすることができ、従ってその時点でバイアはブラインド・バイアでなく使用可能「貫通バイア」である。
機械的研削モジュール
ハンドラ・ウェハのボンディングの後、ウェハ対(例えば公称約1.4mm厚さ)を市販のウェハ研削ツールに移す。機械的薄化は、例えば以下のような3つのステップを含む。すなわち、粗い研削、細かい研削、および最終研磨である。図2に示すように、最終目標深さは、約110μmの合計の深さ「Z」とし、公称100μm深さのTSV232(寸法「X」)を覆う〜10μmのシリコン(寸法「Y」)を残すように設定する。研削は、ウェハ202の裏側234上に実行する。粗い研削を用いてウェハの大部分を除去するが、粗い研削プロセスは極めて迅速であるものの、極めて粗い表面を生じ、表面の下の少なくとも〜30μmの深さまで損傷が入り込む。このため、最終目標に到達する前に少なくとも約30μmで粗い研削プロセスを停止し、次いで細かい研削ホイールに切り換えることが好ましい。細かい研削を用いて、最後の約30μm(以上)のシリコンを除去しなければならない。これによって、粗い研削によって生成された表面下の損傷が効果的に除去される。
いったん最終目標に到達し、図2に示すように〜10μmのシリコンのみがTSVを覆うようになると、最終シリコン研磨を用いて鏡面仕上げを生成しなければならない。最終研磨は、標準的なシリコン化学−機械研磨(CMP)プロセスに類似したものであり、〜0.5μmのシリコンを除去すれば良い。最終研磨が行われない場合、水酸化テトラメチルアンモニウム(TMAH)または水酸化カリウム(KOH)を用いたウェット・エッチングを行って、最終研削ホイールにより生じた「渦巻きパターン(swirl pattern)」を迅速に明らかにする。最終研磨によって、シリコン表面は汚れの無い状態になり、更に薄化するための準備が整う。また、イソプロピル・アルコール(IPA)を用いてふいた後に水洗浄およびスピン乾燥を含むことができる適切なブラシ洗浄またはウェット洗浄プロセスを用いて、バイア露出ステップ中にエッチ・マスクとして機能することがある研磨スラリの痕跡を除去することが好ましい。
TSV露出および裏側絶縁モジュール
図3から図6は、TSV露出およびフィールド絶縁ステップを示す。1つ以上の実施形態において、このシーケンスは極めて重要である。なぜなら、ここでの裏側処理が不良であると、直接的に、FBEOLメタライゼーションの間のシリコン基板中のTSV漏れにつながり、入出力(I/O)、電力、および接地接続について一様に問題が生じるからである。図3を参照すると、TSVを露出させる好適な方法は、ブランケット・ディープ反応性イオン・エッチング(D−RIE)(〜4μm/分)または適度に迅速な(〜1μm/分)Si反応性イオン・エッチング(RIE)プロセスを用いることである。均一な厚さのガラス・ハンドラを用いている場合、3分から6分後にウェハ裏側234の全体でTSVパターンが露出される。もっと時間が長くなると、シリコンの粗さが増すと共に、ウェハ縁部の近くに「ブラック・シリコン」残留物が蓄積する。エッチングによって、最初の裏面290の下の深さDまで材料が除去される。Dは、例えば約10ミクロンとすることができる。
D−RIEプロセス自体における中央部と縁部のばらつきのため、およびガラス厚さの小さいばらつきのため、TSVは通常、ウェハ上の位置に応じて〜3μmから〜8μmだけ露出される。少なくとも〜3μmだけウェハ上で全TSVを露出させることによって、以下のCMP平坦化プロセスにおいて、タングステン充填物を取り囲む酸化物シェル236および金属238自体の双方の開放を確実とすることが望ましい。このステップでは多数のスラリ(slurry)を用いることができるが、タングステン、酸化物、およびシリコン・フィールドを同時研磨する際にタングステンを研磨するように設計されたスラリが極めて有効であることが示されている。研磨時間は、TSVの露出の初期高およびシリコン・フィールドの粗さの程度に応じて変動する。図4に示すように、第1の平坦化ステップの目標は、タングステン、酸化物、およびシリコンをできる限り同一平面とすることである。これによって、全てのTSV232の高さは効果的にゼロに「リセット」されるので、図5に示すように、第2のD−RIEプロセスを行って、ウェハ全体のあらゆる所で各TSVを取り囲むSiフィールドを精密な量だけくぼませることができる。
次のステップは、低温PECVDプロセス(〜300℃以下)を用いて裏側誘電体を堆積することである。低温プロセスによって、接着剤が真空プロセスの後まで残存し、ボイド形成につながり得るガス放出が最小限であることが確実となる。図6に示すように、良好な接着、電気的絶縁、ならびに水分および移動イオンに対する保護を与えるために、酸化物および窒化物材料の組み合わせ240が有用である。また、PECVD膜の引張性および圧縮性の性質を用いて、ウェハの前側でのレベルの最終的な圧縮性および引張性の特性のバランスを取り、これによって最終的な解放されたキャリアにおける歪みおよび反りを制御することができる。裏側プロセス・シーケンスにおける最終ステップは、酸化物CMPを用いて隆起したTSVの上のPECVD誘電積層物を除去することである。図7に示す、この酸化物「キャップ除去(cap knockoff)」CMPでは、TSV232のタングステン金属コア238を除いて、ウェハ202の裏側234全体が絶縁される。最終裏側プロセスの検査の後、キャリアは最終的なFBEOL端子金属処理のための準備が整った状態である。限定ではない例において、距離「C」は約90μmとすることができる。
FBEOLモジュール
標準的な相補型金属酸化膜半導体(CMOS)ウェハにおいて典型的であるように、最終端子金属または「ウェハ・バンプ形成」プロセスは、適切なアンダーバンプ・メタライゼーション(UBM)・パッドおよびC4(Controlled Collapse Chip Connection)はんだバンプをウェハ全体に堆積することを含む。UBMのための典型的なプロセスは、金属スパッタリングの後にリソグラフィ、電解めっき、およびウェット・シード・エッチングを行ってパッドを画定することを含む。また、過去においては、整列シャドー・マスクを介した金属の蒸着を用いて成功している。この結果、図8に示すように、TSVタングステン・コア238に直接接触した整列パッド250を有するウェハが得られる。DuPont RISTONフォトレジスト(RISTONはE. I. DuPont De Nemous and Company(19898デラウェア州ウィルミントン、マーケット・ストリート1007の登録商標である)等の厚いレジスト・マスクを介して、はんだバンプを直接めっきすることも可能である。または、はんだバンプは、IBM社のC4NPプロセスにおけるように、型から濡れ性のあるUBMパッドに移すことも可能である。IBM社のC4NPプロセスの態様は、米国特許第5,244,143号、第5,775,569号、および第7,332,424号において開示されている。図9に示す最終結果は、はんだバンプ260を有する薄いバンプ・シリコン・キャリア202であり、ガラス・ハンドラ230に取り付けられて、試験、ダイシング、ピック、およびアセンブリのための準備が整っている。以前の裏側プロセスと同様、UBMパッドおよびはんだバンプを製造するためにどの方法を選ぶ場合であっても、ガラスが裏に取り付けられたウェハに対応するためにはツール類を適切に選択しなければならない。当業者は、本明細書における教示が与えられれば、適切なツール類を容易に選択することができる。
検討として、TSVについての標準的な「バイア・ファースト」または「バイア・ミドル」プロセス・フローにおいては、ライン処理の初期または中期近くでシリコン・ウェハにブラインド・メタライズ・バイアを製造し、これらを露呈させてTSVを形成するのはプロセスのかなり後であり、その前にBEOL配線は完成している。典型的には、ウェハを、プロセス・フローまたは最終構造あるいはその両方に応じてシリコンまたはガラスである場合があるハンドラ・ウェハに取り付け、ブラインド・バイアを含むウェハを薄化する。ウェハを薄化し、バイアを露出し、裏側を絶縁し、電気パッドまたははんだ・ボールを追加するステップのシーケンスは、TSVの最終的な歩留まりにとって極めて重要である。間違って行われると、TSVが不良となる恐れがあり、信号および電力または接地TSVあるいはその両方の間において電気的な漏れが生じることがある。「バイア・ファースト」または「バイア・ミドル」ブラインド・バイアのディープ・シリコン・エッチングの間、同じ設計寸法のバイアであっても、ウェハの中央部から縁部までバイア深さにある程度のばらつきがあるのは一般的である。異なるサイズの特徴は通常、RIEの遅れのために異なる深さにエッチングされる。このため、FEOL層およびBEOL層の下に埋め込まれたブラインド・バイアは、裏側処理の間ほぼ確実に異なる深さにある。
更に、ウェハの初期薄化の間にブラインド・バイアを含むウェハをサポートするためにハンドラ・ウェハを用いるのは一般的である。薄化は典型的に、粒度の粗いホイールを用い、次に粒度の細かいホイールを用いた裏側機械的研削によって達成される。研削ツールは、ウェハ全体のシリコン除去レートにおいて極めて均一とすることができるが、それらが取り付けられるハンドラ・ウェハは、特にガラスで生成される場合には厚さが均一でない場合がある。例えばウェッジ形のガラス片のようなハンドラの非均一性があると、そのばらつきによってシリコン・ウェハが薄化することになる。ブラインド・バイアの深さおよびハンドラの均一性におけるばらつきの最終結果は、ブラインド・バイアがウェハ全体の全ての位置で同時には露出されないということである。
本発明の態様が提供する方法は、機械的研削を用い、次いで2つの連続したプラズマ・エッチ/CMPプロセスを用いて、全てのTSVを均一な高さに正確に露出させ、これによって、ウェハ裏側のフィールド全体で均一な絶縁体カバレージを確実とすると共に、電気パッド形成にとって重要な、TSVを直接取り囲む絶縁体の良好な完全性を確実とする。
更に、本発明の態様は一般化されたTSV構造に対応する。これは、簡単なホールとすることができるが、スロット、バー、環、C型等を含む、めっき技法によって充填することが不可能ではないが難しい多数の高アスペクト比の形状の1つとしても良い。すなわち図10に示すように、TSV232の横断面は、スロット状1702、バー状1704、環状1706、C型1708等とすることができる。
好適な実施形態においては、CVDタングステン充填を用い、2つの個別サイクルにおいてドライ・エッチングおよびCMPを精密に組み合わせて、プロセスの終了時に全てのバイア232が精密に同一量だけ露出されることを確実とし、本質的に「高さリセット」特徴を提供する。
これまで述べた限定でない例に鑑み、一般的な意味において、例示的な方法は、前側および裏側234を有する本体を有する主ウェハ202とハンドラ・ウェハ230とを含むアセンブリを取得するステップを含むことは認められよう。主ウェハは、裏側234より上で終端する複数のブラインド電気バイア232を有する。ブラインド電気バイア232は導電コア238を有し、コアの隣接する側方および端部領域には周囲絶縁体236を有する。ハンドラ・ウェハ230は主ウェハ202の本体の前側に固定されている。このアセンブリは図1に示されている。
追加のステップは、裏側234でブラインド電気バイア232を露出させることを含む。図3に示すように、ブラインド電気バイアは、裏側全体で様々な高さ(限定ではないが一例として、約3ミクロンから約8ミクロンまで)に露出される。別のステップは、図4に示すように、裏側234に第1の化学機械研磨プロセスを適用して、露出ステップの後に残っているコアの端部領域に隣接した周囲絶縁体を開放すると共に、バイア導電コア、コアの側方領域に隣接した周囲絶縁体、および主ウェハの本体を同一平面にすることを含む。
更に別のステップは、図5に示すように、裏側をエッチングして、裏側全体でバイアの各々の均一なスタンドオフ高さを与えることを含む。更に、図6に示すように、裏側全体に誘電体240を堆積し(例えばバイア・プラズマ増強化学気層堆積によって)、図7に示すように、裏側に第2の化学機械研磨プロセスを適用して、バイアの導電コアに隣接した誘電体のみを開放する。
前述の取得ステップは、図1および図2に関連付けて説明したように、例えばシリコン・ウェハとすることができる主ウェハ202を取得することと、例えばガラスとすることができるハンドラ・ウェハ230を取得することと、主ウェハ202を前記ハンドラ・ウェハ230に結合することと、を含むことができる。好ましくは、ハンドラ・ウェハは、全体の厚さのばらつきが約5ミクロン未満である。
前述の結合ステップは、例えば、前側に接着剤222をコーティングすることと、ハンドラ・ウェハと前側との間にスペーサを配して主ウェハ202およびハンドラ・ウェハ230を整列させることと、整列させた主ウェハおよびハンドラ・ウェハを約150℃の周囲温度で1×10−03mbarの真空に露出させることを含むことができる。更にまた、前述の結合ステップは、周囲温度を毎分約10℃で約230℃に上昇させることと、スペーサを除去することと、周囲温度を毎分約10℃で約350℃に上昇させることと、350℃の周囲温度で約15分間、約1MPaの周囲圧力を加えることと、主ウェハおよびハンドラ・ウェハを約25分間、約150℃の温度に冷却することと、を含むことができる。
ブラインド電気バイア232を露出させるステップは、例えば、図2に示すように、ブラインド・バイアがほぼ露出するまで裏側から材料を除去することによってウェハを薄化することと、図3に示すように、裏側をエッチングしてバイアを様々な高さに露出させることと、を含むことができる。薄化するステップは、裏側に、所望の深さから30ミクロン以上遠くまで粗い研削動作を実行することと、所望の深さまで細かい研削動作を実行することと、研磨動作を実行することと、を含むことができる。エッチングは、例えば毎分約4ミクロンのディープ反応性イオン・エッチングを例えば含むことができる。あるいは、エッチングは、例えば毎分約1ミクロンのシリコン反応性イオン・エッチングをたとえば含むことができる。
追加のステップは、図8に示すように、裏側で複数のバイアの導電コア238の上に複数の導電パッド構造250を形成することを含む。
1つ以上の実施形態においては、図6に最も良く示されるように、誘電体の堆積によってバイアの上にキャップが295生成され、第2の化学機械研磨プロセスによってキャップが除去される。
上述の方法は、集積回路チップの製造およびパッケージングにおいて用いることができる。特に、本明細書に記載した技法を用いてTSVを露出させることができる。チップ設計は、例えばグラフィック・コンピュータ・プログラミング言語において生成し、コンピュータ記憶媒体(ディスク、テープ、物理ハード・ドライブ、または記憶アクセス・ネットワークにおけるもの等の仮想ハード・ドライブ等)に記憶することができる。設計者がチップもチップを製造するために用いられるフォトリソグラフィ・マスクも製造しない場合、設計者は得られた設計を物理的な手段によって(例えば設計を記憶している記憶媒体のコピーを与えることによって)または電子的に(例えばインターネットを介して)、かかるエンティティに直接的または間接的に送信すれば良い。次いで、フォトリソグラフィ・マスクを製造するために、記憶された設計を、例えばグラフィック設計システムII(GDSII)等の適切なフォーマットに変換することができ、これは通常ウェハ上に形成される当該チップ設計の多数のコピーを含む。フォトリソグラフィ・マスクを利用して、エッチングまたは他の方法で処理されるウェハの領域(またはその上の層あるいはその両方)を画定することができる。
この結果として得られる集積回路チップは、未加工のウェハ形態で(すなわち多数の未パッケージ・チップを有する単一のウェハとして)、ベア・ダイとして、またはパッケージされた形態で、製造業者によって配布することができる。後者の場合、チップは、単一チップ・パッケージ(マザーボードに取り付けられたリードを有するプラスチック・キャリアまたは他の高レベル・キャリア等)に、または多チップ・パッケージ(表面相互接続または埋め込み相互接続のいずれかまたは双方を有するセラミック・キャリア等)に、搭載することができる。いずれの場合であっても、次いでチップを、(a)マザーボード等の中間製品または(b)最終製品のいずれかの一部として、他のチップ、離散回路要素、または他の信号処理デバイスあるいはそれら全てと集積することができる。最終製品は、おもちゃおよび他のローエンドまたは家庭用電子用途から、ディスプレイ、キーボード、または他の入力デバイス、および中央プロセッサを有する最先端のコンピュータ製品までの範囲に及ぶ集積回路チップを含むいずれかの製品とすることができる。本明細書に記載した技法は、3D用途向けのチップまたはチップ・スタック上にチップを、ウェハ上にチップを、パッケージ上にチップを、またはパッケージ上にパッケージを相互接続するために用いることができる。
上述した本発明の例示的な実施形態を多数の異なる方法で実施可能であることは、認められるであろうし、理解されるはずである。本明細書に述べた本発明の教示が与えられれば、当業者は本発明の他の実施を想定することができるであろう。
本発明の例示的な実施形態について添付図面を参照してここに記載したが、本発明はこれらの厳密な実施形態に限定されるわけではなく、本発明の範囲または精神から逸脱することなく当業者によって様々な他の変更および変形が可能であることは理解されよう。

Claims (19)

  1. アセンブリであって、
    前側および裏側を有する本体を有する主ウェハであって、前記主ウェハが前記裏側より上で終端する複数のブラインド電気バイアを含み、前記ブラインド電気バイアが導電コアを有し、前記コアの隣接する側方領域および端部領域に周囲絶縁体を有する、前記主ウェハと、
    前記前側に固定されたハンドラ・ウェハと、
    を含む前記アセンブリを取得するステップと、
    前記裏側で前記ブラインド電気バイアを露出させるステップであって、前記ブラインド電気バイアが裏側全体で様々な高さに露出される、前記ステップと、
    前記裏側に第1の化学機械研磨プロセスを適用して、前記露出ステップの後に残っている前記コアの前記端部領域に隣接した前記周囲絶縁体を開放すると共に、前記バイア導電コア、前記コアの前記側方領域に隣接した前記周囲絶縁体、および前記主ウェハの前記本体を同一平面にするステップと、
    前記裏側をエッチングして、前記裏側全体で前記バイアの各々の均一なスタンドオフ高さを与える、ステップと、
    前記裏側全体に誘電体を堆積するステップと、
    前記裏側に第2の化学機械研磨プロセスを適用して、前記バイアの前記導電コアに隣接した前記誘電体のみを開放するステップと、
    を含む、方法。
  2. 前記アセンブリを取得する前記ステップは、
    シリコンを含む前記主ウェハを取得することと、
    ガラスを含む前記ハンドラ・ウェハを取得することと、
    前記主ウェハを前記ハンドラ・ウェハに結合することと、
    を含む、請求項1に記載の方法。
  3. 前記ハンドラ・ウェハは全体の厚さのばらつきが5ミクロン未満である、請求項2に記載の方法。
  4. 前記ブラインド電気バイアを露出させる前記ステップが、
    前記ブラインド・バイアがほぼ露出するまで前記裏側から材料を除去することによって前記ウェハを薄化することと、
    前記裏側をエッチングして前記バイアを前記様々な高さに露出させることと、
    を含む、請求項2に記載の方法。
  5. 前記薄化するステップが所望の深さまで実行され、前記薄化するステップが、
    前記裏側に、前記所望の深さから30ミクロン以上遠くまで粗い研削動作を実行することと、
    前記所望の深さまで細かい研削動作を実行することと、
    研磨動作を実行することと、
    を含む、請求項4に記載の方法。
  6. 前記裏側をエッチングして前記バイアを前記様々な高さに露出させる前記ステップがディープ反応性イオン・エッチングを含む、請求項4に記載の方法。
  7. 前記ディープ反応性イオン・エッチングが毎分4ミクロンで実行される、請求項6に記載の方法。
  8. 前記裏側をエッチングして前記バイアを前記様々な高さに露出させる前記ステップがシリコン反応性イオン・エッチングを含む、請求項4に記載の方法。
  9. 前記シリコン反応性イオン・エッチングが毎分1ミクロンで実行される、請求項8に記載の方法。
  10. 前記様々な高さが3ミクロンから8ミクロンの範囲である、請求項4に記載の方法。
  11. 前記誘電体の前記堆積がプラズマ増強化学気相堆積によって実行される、請求項4に記載の方法。
  12. 前記誘電体の前記堆積によって前記バイアの上にキャップが生成され、前記第2の化学機械的研磨プロセスによって前記キャップが除去される、請求項4に記載の方法。
  13. 前記裏側で前記複数のバイアの前記導電コアの上に複数の導電パッド構造を形成する追加ステップを更に含む、請求項4に記載の方法。
  14. 前記導電パッド構造がアンダーバンプ・メタライゼーション・パッドを含む、請求項13に記載の方法。
  15. 前記アンダーバンプ・メタライゼーション・パッド上にC4はんだバンプを形成することを更に含む、請求項14に記載の方法。
  16. 前記バイアの断面がスロット状である、請求項4に記載の方法。
  17. 前記バイアの断面がバー状である、請求項4に記載の方法。
  18. 前記バイアの断面が環状である、請求項4に記載の方法。
  19. 前記バイアの断面がC型である、請求項4に記載の方法。
JP2011544872A 2009-01-13 2010-01-08 シリコン貫通電極(tsv)を露出させ接触させる高歩留まりの方法 Expired - Fee Related JP5662947B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/352,718 2009-01-13
US12/352,718 US8263497B2 (en) 2009-01-13 2009-01-13 High-yield method of exposing and contacting through-silicon vias
PCT/EP2010/050155 WO2010081767A1 (en) 2009-01-13 2010-01-08 High-yield method of exposing and contacting through-silicon vias

Publications (2)

Publication Number Publication Date
JP2012515432A true JP2012515432A (ja) 2012-07-05
JP5662947B2 JP5662947B2 (ja) 2015-02-04

Family

ID=42026370

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011544872A Expired - Fee Related JP5662947B2 (ja) 2009-01-13 2010-01-08 シリコン貫通電極(tsv)を露出させ接触させる高歩留まりの方法

Country Status (6)

Country Link
US (1) US8263497B2 (ja)
EP (1) EP2345070B1 (ja)
JP (1) JP5662947B2 (ja)
KR (1) KR101589782B1 (ja)
AT (1) ATE550784T1 (ja)
WO (1) WO2010081767A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014033159A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014033161A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014033153A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014033154A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014033155A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014041885A (ja) * 2012-08-21 2014-03-06 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014044999A (ja) * 2012-08-24 2014-03-13 Disco Abrasive Syst Ltd ウェーハの加工方法
JP2014053355A (ja) * 2012-09-05 2014-03-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014053350A (ja) * 2012-09-05 2014-03-20 Disco Abrasive Syst Ltd ウエーハの加工方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8481357B2 (en) * 2008-03-08 2013-07-09 Crystal Solar Incorporated Thin film solar cell with ceramic handling layer
US8501587B2 (en) * 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8017439B2 (en) * 2010-01-26 2011-09-13 Texas Instruments Incorporated Dual carrier for joining IC die or wafers to TSV wafers
WO2012021750A1 (en) * 2010-08-11 2012-02-16 Crystal Solar, Inc. Mwt architecture for thin si solar cells
US9190371B2 (en) 2010-12-21 2015-11-17 Moon J. Kim Self-organizing network with chip package having multiple interconnection configurations
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
US8609451B2 (en) 2011-03-18 2013-12-17 Crystal Solar Inc. Insitu epitaxial deposition of front and back junctions in single crystal silicon solar cells
US8753981B2 (en) 2011-04-22 2014-06-17 Micron Technology, Inc. Microelectronic devices with through-silicon vias and associated methods of manufacturing
US8526186B2 (en) 2011-07-11 2013-09-03 Texas Instruments Incorporated Electronic assembly including die on substrate with heat spreader having an open window on the die
JP5945111B2 (ja) * 2011-11-04 2016-07-05 株式会社東京精密 ウェーハ薄膜加工制御方法
US8519516B1 (en) 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
US9034752B2 (en) 2013-01-03 2015-05-19 Micron Technology, Inc. Methods of exposing conductive vias of semiconductor devices and associated structures
KR20140090462A (ko) 2013-01-09 2014-07-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20140199833A1 (en) * 2013-01-11 2014-07-17 Applied Materials, Inc. Methods for performing a via reveal etching process for forming through-silicon vias in a substrate
CN104143525B (zh) * 2013-05-09 2018-12-18 盛美半导体设备(上海)有限公司 穿透硅通孔背面金属平坦化方法
CN104143526B (zh) * 2013-05-09 2019-05-17 盛美半导体设备(上海)有限公司 穿透硅通孔结构制作方法
CN103346120A (zh) * 2013-07-01 2013-10-09 华进半导体封装先导技术研发中心有限公司 一种利用化学刻蚀露出tsv头部的方法及相应器件
US9443764B2 (en) 2013-10-11 2016-09-13 GlobalFoundries, Inc. Method of eliminating poor reveal of through silicon vias
US9613842B2 (en) * 2014-02-19 2017-04-04 Globalfoundries Inc. Wafer handler and methods of manufacture
CN104934365B (zh) * 2014-03-20 2018-07-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
US10115701B2 (en) 2014-06-26 2018-10-30 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming conductive vias by backside via reveal with CMP
US9768066B2 (en) 2014-06-26 2017-09-19 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming conductive vias by direct via reveal with organic passivation
US9984888B2 (en) * 2014-08-13 2018-05-29 Newport Fab, Llc Method of fabricating a semiconductor wafer including a through substrate via (TSV) and a stepped support ring on a back side of the wafer
WO2016190303A1 (ja) 2015-05-28 2016-12-01 旭硝子株式会社 ガラス基板、および積層基板
CN107993937B (zh) * 2017-12-01 2020-03-31 华进半导体封装先导技术研发中心有限公司 一种临时键合工艺的辅助结构及利用该结构的晶圆加工方法
US11004789B2 (en) * 2019-09-30 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including back side power supply circuit
KR20210071539A (ko) 2019-12-06 2021-06-16 삼성전자주식회사 인터포저, 반도체 패키지, 및 인터포저의 제조 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1027786A (ja) * 1997-03-13 1998-01-27 Sony Corp 半導体装置の製造方法
JP2002542623A (ja) * 1999-04-20 2002-12-10 ラム・リサーチ・コーポレーション 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
JP2003197855A (ja) * 2001-12-27 2003-07-11 Toshiba Corp 半導体装置およびその製造方法
WO2006019156A1 (ja) * 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
WO2006080337A1 (ja) * 2005-01-31 2006-08-03 Nec Corporation 半導体装置およびその製造方法と、積層型半導体集積回路
WO2007016924A1 (de) * 2005-08-11 2007-02-15 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Halbleitersubstrat und verfahren zur herstellung
JP2007059769A (ja) * 2005-08-26 2007-03-08 Honda Motor Co Ltd 半導体装置の製造方法、半導体装置およびウエハ

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244143A (en) 1992-04-16 1993-09-14 International Business Machines Corporation Apparatus and method for injection molding solder and applications thereof
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5390141A (en) * 1993-07-07 1995-02-14 Massachusetts Institute Of Technology Voltage programmable links programmed with low current transistors
US5622875A (en) * 1994-05-06 1997-04-22 Kobe Precision, Inc. Method for reclaiming substrate from semiconductor wafers
US5492235A (en) * 1995-12-18 1996-02-20 Intel Corporation Process for single mask C4 solder bump fabrication
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5775569A (en) 1996-10-31 1998-07-07 Ibm Corporation Method for building interconnect structures by injection molded solder and structures built
US6713685B1 (en) * 1998-09-10 2004-03-30 Viasystems Group, Inc. Non-circular micro-via
US6420266B1 (en) * 1999-11-02 2002-07-16 Alien Technology Corporation Methods for creating elements of predetermined shape and apparatuses using these elements
US6586322B1 (en) * 2001-12-21 2003-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making a bump on a substrate using multiple photoresist layers
US6921719B2 (en) * 2002-10-31 2005-07-26 Strasbaugh, A California Corporation Method of preparing whole semiconductor wafer for analysis
GB2409927B (en) * 2004-01-09 2006-09-27 Microsaic Systems Ltd Micro-engineered electron multipliers
US7332424B2 (en) 2004-08-16 2008-02-19 International Business Machines Corporation Fluxless solder transfer and reflow process
US7425499B2 (en) * 2004-08-24 2008-09-16 Micron Technology, Inc. Methods for forming interconnects in vias and microelectronic workpieces including such interconnects
US7279407B2 (en) * 2004-09-02 2007-10-09 Micron Technology, Inc. Selective nickel plating of aluminum, copper, and tungsten structures
US7672306B2 (en) * 2005-07-18 2010-03-02 Stewart Ian A Method for secure reliable point to multi-point bi-directional communications
US7772116B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
US7554130B1 (en) * 2006-02-23 2009-06-30 T-Ram Semiconductor, Inc. Reducing effects of parasitic transistors in thyristor-based memory using an isolation or damage region
KR100883806B1 (ko) * 2007-01-02 2009-02-17 삼성전자주식회사 반도체 장치 및 그 형성방법
JP4265668B2 (ja) * 2007-03-08 2009-05-20 ソニー株式会社 回路基板の製造方法および回路基板
US7514797B2 (en) * 2007-05-31 2009-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die wafer level packaging
US8384224B2 (en) * 2008-08-08 2013-02-26 International Business Machines Corporation Through wafer vias and method of making same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1027786A (ja) * 1997-03-13 1998-01-27 Sony Corp 半導体装置の製造方法
JP2002542623A (ja) * 1999-04-20 2002-12-10 ラム・リサーチ・コーポレーション 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
JP2003197855A (ja) * 2001-12-27 2003-07-11 Toshiba Corp 半導体装置およびその製造方法
WO2006019156A1 (ja) * 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
WO2006080337A1 (ja) * 2005-01-31 2006-08-03 Nec Corporation 半導体装置およびその製造方法と、積層型半導体集積回路
WO2007016924A1 (de) * 2005-08-11 2007-02-15 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Halbleitersubstrat und verfahren zur herstellung
JP2007059769A (ja) * 2005-08-26 2007-03-08 Honda Motor Co Ltd 半導体装置の製造方法、半導体装置およびウエハ

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014033159A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014033161A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014033153A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014033154A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014033155A (ja) * 2012-08-06 2014-02-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014041885A (ja) * 2012-08-21 2014-03-06 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014044999A (ja) * 2012-08-24 2014-03-13 Disco Abrasive Syst Ltd ウェーハの加工方法
JP2014053355A (ja) * 2012-09-05 2014-03-20 Disco Abrasive Syst Ltd ウエーハの加工方法
JP2014053350A (ja) * 2012-09-05 2014-03-20 Disco Abrasive Syst Ltd ウエーハの加工方法

Also Published As

Publication number Publication date
KR101589782B1 (ko) 2016-02-12
JP5662947B2 (ja) 2015-02-04
KR20110106915A (ko) 2011-09-29
WO2010081767A1 (en) 2010-07-22
US20100178766A1 (en) 2010-07-15
EP2345070B1 (en) 2012-03-21
US8263497B2 (en) 2012-09-11
EP2345070A1 (en) 2011-07-20
ATE550784T1 (de) 2012-04-15

Similar Documents

Publication Publication Date Title
JP5662947B2 (ja) シリコン貫通電極(tsv)を露出させ接触させる高歩留まりの方法
KR20230095110A (ko) 직접 접합 방법 및 구조체
CN110957229B (zh) 半导体器件和形成半导体器件的方法
US8097955B2 (en) Interconnect structures and methods
TW202107636A (zh) 封裝結構及製作方法
TWI514442B (zh) 單一光罩通道之方法與裝置
US8455984B2 (en) Integrated circuit structure and method of forming the same
US8383460B1 (en) Method for fabricating through substrate vias in semiconductor substrate
TWI602273B (zh) 半導體裝置
KR20200037051A (ko) 집적 회로 패키지 및 방법
TW201810570A (zh) 半導體封裝及其製作方法
KR101720406B1 (ko) 반도체 디바이스 및 방법
TWI550796B (zh) 用於處理極薄裝置晶圓的方法
KR102480685B1 (ko) 반도체 디바이스 및 제조 방법
US10141291B2 (en) Semiconductor device and method of manufacturing the same
US9082757B2 (en) Stacked semiconductor devices
TW202114089A (zh) 封裝結構及其製作方法
CN115132672A (zh) 半导体封装件及其形成方法
JP2004343088A (ja) 半導体装置及びその製造方法
JP5559773B2 (ja) 積層半導体装置の製造方法
Chung et al. 3D stacking DRAM using TSV technology and microbump interconnect
US11322477B2 (en) Package structure and method of fabricating the same
US20230245947A1 (en) Integrated circuit package and method
US20240213236A1 (en) Integrated circuit package and method
CN220829951U (zh) 半导体封装

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120705

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140107

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20140402

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140402

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141118

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20141118

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141205

R150 Certificate of patent or registration of utility model

Ref document number: 5662947

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees