JP2012507150A - 低減された層間剥離特性を示す炭素系メモリ素子およびその形成方法 - Google Patents

低減された層間剥離特性を示す炭素系メモリ素子およびその形成方法 Download PDF

Info

Publication number
JP2012507150A
JP2012507150A JP2011533336A JP2011533336A JP2012507150A JP 2012507150 A JP2012507150 A JP 2012507150A JP 2011533336 A JP2011533336 A JP 2011533336A JP 2011533336 A JP2011533336 A JP 2011533336A JP 2012507150 A JP2012507150 A JP 2012507150A
Authority
JP
Japan
Prior art keywords
memory cell
carbon
conductive layer
forming
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011533336A
Other languages
English (en)
Other versions
JP2012507150A5 (ja
Inventor
クー,ホイウエン
Original Assignee
サンディスク スリーディー,エルエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サンディスク スリーディー,エルエルシー filed Critical サンディスク スリーディー,エルエルシー
Publication of JP2012507150A publication Critical patent/JP2012507150A/ja
Publication of JP2012507150A5 publication Critical patent/JP2012507150A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/02Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using elements whose operation depends upon chemical change
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/023Formation of the switching material, e.g. layer deposition by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/026Formation of the switching material, e.g. layer deposition by physical vapor deposition, e.g. sputtering
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Other compounds of groups 13-15, e.g. elemental or compound semiconductors
    • H10N70/8845Carbon or carbides
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/30Resistive cell, memory material aspects
    • G11C2213/35Material including carbon, e.g. graphite, grapheme
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having a potential-jump barrier or a surface barrier
    • H10K10/50Bistable switching devices

Abstract

可逆的に抵抗を切り換える金属−絶縁物−金属(MIM)スタックを形成する方法が提供される。この方法は、縮退ドープされた半導体材料を含む第1の導電層を形成することと、第1の導電層上に炭素系可逆抵抗スイッチング材料を形成することと、を含む。また、他の態様も提供される。

Description

本発明は、不揮発性メモリに関し、特に低減された層間剥離特性を示す炭素系メモリ素子およびその形成方法に関する。
関連出願の相互参照
本願は、あらゆる目的のためにその全体が本願明細書において参照により援用されている、2008年10月23日に出願された「Methods And Apparatus Exhibiting Reduced Delamination Of Carbon-Based Resistivity-Switching Materials 」という米国仮特許出願第61/108,017号(整理番号:SD−MXA−336P)(特許文献1)の利益を主張する。
可逆抵抗スイッチング素子から形成される不揮発性メモリが知られている。例えば、あらゆる目的のためにその全体が本願明細書において参照により援用されている、2007年12月31日に出願された「Memory Cell That Employs A Selectively Fabricated Carbon Nano-Tube Reversible Resistance Switching Element And Methods Of Forming The Same」という米国特許出願第11/968,154号(整理番号:SD−MXA−241)(特許文献2)には、炭素系可逆抵抗スイッチング材料と連続的に接続されたダイオードを含む、書き換え可能な不揮発性メモリセルが記載されている。
しかし、炭素系材料からメモリデバイスを製造するのは技術的に困難であり、炭素系材料を使用するメモリデバイスを形成する方法が改良されることが望ましい。
米国仮特許出願第61/108,017号 米国特許出願第11/968,154号 米国特許出願第11/125,939号 米国特許出願第12/499,467号 米国特許第6,952,030号 米国特許出願第11/692,151号 米国特許第5,915,167号 米国特許出願第11/298,331号 米国特許第7,176,064号 米国特許出願第12/536,457号 米国特許出願第12/415,964号
本発明の第1の態様によれば、可逆的に抵抗を切り換える金属−絶縁物−金属(「MIM」)スタックを形成する方法が提供され、この方法は、縮退ドープされた半導体材料を含む第1の導電層を形成することと、第1の導電層上に炭素系可逆抵抗スイッチング材料を形成することと、を含む。
本発明の第2の態様によれば、可逆的に抵抗を切り換えるMIMスタックを形成する方法が提供され、この方法は、シリサイドを含む第1の導電層を形成することと、第1の導電層上に炭素系可逆抵抗スイッチング材料を形成することと、を含み、第1の導電層と炭素系可逆抵抗スイッチング材料は、同じプロセスチャンバで形成される。
本発明の第3の態様によれば、メモリセルを形成する方法が提供され、この方法は、縮退ドープされた半導体材料を含む第1の導電層を形成することと、第1の導電層上に炭素系可逆抵抗スイッチング材料を形成することと、炭素系可逆抵抗スイッチング材料上に第2の導電層を形成することと、を含む。
本発明の第4の態様によれば、メモリセルを形成する方法が提供され、この方法は、シリサイドを含む第1の導電層を形成することであって、第1の導電層と炭素系可逆抵抗スイッチング材料とが同じプロセスチャンバで形成されることと、炭素系可逆抵抗スイッチング材料上に第2の導電層を形成することと、を含む。
本発明の第5の態様によれば、メモリセルが提供され、このメモリセルは、縮退ドープされた半導体材料を含む第1の導電層と、第1の導電層上の炭素系可逆抵抗スイッチング材料と、炭素系可逆抵抗スイッチング材料上の第2の導電層と、を備える。
本発明の他の特徴と態様は、以下の詳細な説明、添付の特許請求の範囲、および添付の図面からさらに充分に明らかとなる。
本発明の特徴は、添付の図面と合わせて検討される以下の詳細な説明からさらに明確に理解することができる。図面全体を通して、同じ参照番号は同じ要素を示すものである。
本発明による例示的なメモリセルを示す図である。 本発明による例示的なメモリセルを示す略斜視図である。 図2Aの複数のメモリセルから形成される第1の例示的なメモリレベルの一部を示す略斜視図である。 本発明による第1の例示的な3次元メモリアレイの一部を示す略斜視図である。 本発明による第2の例示的な3次元メモリアレイの一部を示す略斜視図である。 本発明によるメモリセルの例示的な実施形態の断面図を示す。 本発明によるメモリセルの例示的な実施形態の断面図を示す。 本発明によるメモリセルの例示的な実施形態の断面図を示す。 本発明によるメモリセルの例示的な実施形態の断面図を示す。 本発明による単一のメモリレベルの例示的な製造過程における基板の一部の断面図を示す。 本発明による単一のメモリレベルの例示的な製造過程における基板の一部の断面図を示す。 本発明による単一のメモリレベルの例示的な製造過程における基板の一部の断面図を示す。 本発明による単一のメモリレベルの例示的な製造過程における基板の一部の断面図を示す。 本発明による単一のメモリレベルの例示的な製造過程における基板の一部の断面図を示す。 本発明による単一のメモリレベルの例示的な製造過程における基板の一部の断面図を示す。 本発明による単一のメモリレベルの例示的な製造過程における基板の一部の断面図を示す。 本発明による単一のメモリレベルの例示的な製造過程における基板の一部の断面図を示す。
ナノ結晶質グラフェン(本願明細書では「グラファイトカーボン」)、グラフェン、グラファイト、カーボンナノチューブ、アモルファスダイアモンド状カーボン(「DLC」)、シリコンカーバイド、ボロンカーバイド、および他の同様な炭素系材料を含むアモルファスカーボン(「aC」)などのカーボンフィルムは、そのような材料をマイクロエレクトロニクス不揮発性メモリでの使用に適することが可能な、抵抗率スイッチング動作を示すことができる。実際、いくつかの炭素系材料が、オン状態とオフ状態との間で100倍の分離度および中間域から高域の抵抗変化を有する可逆的に抵抗率を切り換えるメモリ特性を実験室レベルのデバイスで示している。オン状態とオフ状態との間のそのような分離度により、炭素系材料は、メモリ素子に炭素材料を使用して形成されるメモリセルの実現可能な候補となる。本願明細書で使用されているように、DLCは主として四面体炭素−炭素単結合(しばしばsp3 結合と称される)を有する傾向があり、また長距離秩序に関して非晶質な傾向がある炭素材料である。
MIM構造を形成するために底部電極と頂部電極との間に炭素系抵抗スイッチング材料を配置することによって、炭素系メモリ素子を形成することができる。このような構成では、2つの金属間に挟み込まれた炭素系抵抗スイッチング材料あるいはそうでなければ導電層が炭素系可逆抵抗スイッチング素子として機能する。次いで、MIM構造をダイオード、トンネル接合、薄膜トランジスタなどのステアリング素子に連続的に接続することによって、メモリセルを形成してもよい。
従来の方法で形成されたMIM構造では、窒化チタン(「TiN」)、窒化タンタル(「TaN」)、窒化タングステン(「WN」)、モリブデン(「Mo」)、または他の同種の材料から頂部電極と底部電極とを形成することができる。ある場合には、そのようなMIM構造は、使用している間に炭素材料の層間剥離かまたはTiN底部電極層からの剥離の結果として生じる障害を示していた。ある研究によれば、層間剥離/電極層からの剥離は、炭素材料とTiNとの間の熱膨張係数の違いや、炭素材料とTiNとの間の不十分な界面接着における過度の応力の結果生じるものであることが示唆されている。例えば、400オングストロームの炭素材料層が550℃で1,200オングストロームのTiNシートフィルムにプラズマ強化化学気相成長(「PECVD」)プロセスで形成される実験では、カーボン素子中の熱誘発された引張応力は約2GPaであった。
本発明の実施形態によれば、炭素層層間剥離および/または底部電極からの剥離傾向が少ない炭素系MIM構造が形成される。1つの例示的な実施形態では、底部電極が比較的薄く、縮退ドープされた(非常に高濃度にドープされた)層の半導体材料(例えば、シリコン、ゲルマニウム、シリコンゲルマニウム合金、または他の同様な半導体材料)として作られる炭素系MIM構造が形成される。第2の例示的な実施形態では、底部電極が導電性シリサイド(例えば、チタンシリサイド「TiSi」、タンタルシリサイド「TaSi」、タングステンシリサイド「WSi」、銅シリサイド「CuSi」、または他の同様のシリサイド)材料の層として作られる炭素系MIM構造が形成される。物理気相成長(「PVD」)法、PECVD、または他の同様の方法で導電性シリサイド底部電極を形成することができる。第3の例示的な実施形態では、底部電極が底部電極と炭素材料との間で減少化体積および/または減少化インターフェイス領域を有する炭素系MIM構造が形成される。
本発明のこれら実施形態と他の実施形態をさらに図1〜図4Hを参照して以下に説明する。
例示的な本発明のメモリセル
図1は、本発明による例示的なメモリセル10を示す回路図である。メモリセル10は、ステアリング素子14に接続される炭素系可逆抵抗スイッチング素子12を含む。炭素系の可逆的に抵抗を切り換える反転可能な抵抗スイッチング素子12は、2つ以上の状態間で可逆的に切り換え可能な抵抗率を有する炭素系可逆抵抗スイッチング材料(個別に表示しない)を含む。
例えば、素子12の炭素系可逆抵抗スイッチング材料は、製造時には初期低抵抗状態にあってもよい。第1の電圧および/または電流を加えると、材料は高抵抗状態に切り換え可能である。第2の電圧および/または電流を加えると、可逆抵抗スイッチング材料は低抵抗状態に戻ることもできる。あるいは、炭素系可逆抵抗スイッチング素子12は、製造時には初期高抵抗状態であって、適切な電圧および/または電流を加えると、低抵抗状態に可逆的に切り換え可能であってもよい。メモリセルに使用される場合、一方の抵抗状態がバイナリ「0」を表してもよく、他方の抵抗状態がバイナリ「1」を表してもよいが、3つ以上のデータ/抵抗状態が使用されてもよい。あらゆる目的のためにその全体が本願明細書において参照により援用されている、2005年5月9日に出願された「Rewriteable Memory Cell Comprising A Diode And A Resistance Switching Material」という米国特許出願第11/125,939号(整理番号:SD−MA−146)(特許文献3)には、数多くの可逆抵抗スイッチング材料および可逆抵抗スイッチング素子を使用するメモリセルの動作が記載されている。
ステアリング素子14は、薄膜トランジスタ、ダイオード、金属−絶縁物−金属トンネル電流デバイス、または炭素系可逆抵抗スイッチング素子12にかかる電圧および/またはこれを流れる電流を選択的に制限することによって非オーミック導電性を示す別の同様なステアリング素子を含んでもよい。このように、メモリセル10は、2次元または3次元メモリアレイの一部として使用されてもよく、アレイ内の他のメモリセルの状態に影響を与えることなくメモリセル10にデータを書き込んだり、かつ/またはこれから読み出したりすることができる。
メモリセル10、炭素系可逆抵抗スイッチング素子12、およびステアリング素子14の例示的な実施形態を、図2A〜2D、図3A〜3Cを参照しながら以下に説明する。
メモリセルおよびメモリアレイの例示的な実施形態
図2Aは、本発明によるメモリセル10の例示的な実施形態を示す略斜視図である。メモリセル10は、第1の導体20と第2の導体22との間に接続される柱11を含む。柱11は、ステアリング素子14と連続的に接続された炭素系可逆抵抗スイッチング素子12を含む。いくつかの実施形態では、柱11からステアリング素子を省略してもよいし、メモリセル10を離れて位置するステアリング素子とともに使用してもよい。いくつかの実施形態では、炭素系可逆抵抗スイッチング素子12とステアリング素子14との間に障壁層24を形成してもよく、ステアリング素子14と第1の導体20との間に障壁層28を形成してもよく、炭素系可逆抵抗スイッチング素子12と金属層35との間に障壁層33を形成してもよい。障壁層24、炭素系可逆抵抗スイッチング素子12、および障壁層33でMIM構造を形成し、障壁層24と障壁層33がMIM構造の底部電極と頂部電極をそれぞれ形成する。さらに詳細に以下に説明する本発明の例示的な実施形態では、底部電極24は薄い縮退ドープされた半導体材料(例えば、シリコン)、導電性シリサイド(例えば、TiSi)、またはTiNの減少化体積/領域層を含むことができる。障壁層28と頂部電極33は、TiN、TaN、WN、または他の同様の障壁層を含むことができる。いくつかの実施形態では、頂部電極33と金属層35を第2の導体22の一部として形成してもよい。
炭素系可逆抵抗スイッチング素子12は、メモリセルにおける使用に適した炭素系材料を含むことができる。本発明の例示的な実施形態では、炭素系可逆抵抗スイッチング素子12は、グラファイトカーボンを含むことができる。例えば、いくつかの実施形態では、PECVDがグラファイトカーボンの可逆抵抗スイッチング材料を形成することができる。このことは、あらゆる目的のためにその全体が本願明細書において参照により援用されている、2009年7月8日に出願された「Carbon-Based Resistivity-Switching Materials And Methods Of Forming The Same」という米国特許出願第12/499,467号(整理番号:SD−MXA−294)(特許文献4)に記載されている。他の実施形態では、炭素系可逆抵抗スイッチング素子12は、グラフェン、グラファイト、カーボンナノチューブ材料、DLC、シリコンカーバイド、ボロンカーバイド、または他の同様な炭素系材料などの炭素系材料を含むことができる。簡略化するために、以下の説明では、炭素系可逆抵抗スイッチング素子12を、互換性を持って「カーボン素子12」または「カーボン層12」と称する。
本発明の例示的な実施形態では、ステアリング素子14は、ダイオードを含む。本願明細書における説明では、ステアリング素子14をたびたび「ダイオード14」と称する。ダイオード14は、ダイオードのp形領域の上にn形領域がある上向きの方向性、またはダイオードのn形領域の上にp形領域がある下向きの方向性にかかわらず、垂直多結晶p−nダイオードまたはp−i−nダイオードなどの何らかの適切なダイオードも含むことができる。例えば、ダイオード14は、高濃度にドープされたn+ポリシリコン領域14a、n+ポリシリコン領域14a上の低濃度にドープされたかまたは真性の(意図せずドープされた)ポリシリコン領域14b、および真性領域14b上の高濃度にドープされたp+ポリシリコン領域14cを含んでもよい。当然のことながら、n+領域とp+領域との位置は逆にしてもよいことが理解できるはずである。
第1の導体20および/または第2の導体22は、タングステン、何らかの適切な金属、高濃度にドープされた半導体材料、導電性シリサイド、導電性シリサイド−ゲルマニド、導電性ゲルマニドなどの何らかの適切な導電性材料を含んでもよい。図2Aの実施形態では、第1の導体20および第2の導体22は、レール状で、それぞれ異なる方向に(例えば、実質的に互いに直交して)延びる。他の導体形状および/または構成が使用されてもよい。いくつかの実施形態では、障壁層、粘着層、反射防止コーティングおよび/または類似物(図示せず)が、素子性能を改善するため、かつ/または素子製造での支援手段として、第1の導体20および/または第2の導体22とともに使用されてもよい。
図2Bは、図2Aのメモリセル10などの複数のメモリセル10から形成される第1のメモリレベル30の一部を示す略斜視図である。簡略化するために、カーボン素子12、ダイオード14、底部電極24a、障壁層28、頂部電極33、および金属層35は、個別に示さていない。メモリアレイ30は、(図に示されているように)複数のメモリセルが接続される複数のビット線(第2の導体22)とワード線(第1の導体20)を含む「クロスポイント」アレイである。複数レベルのメモリとして他のメモリアレイ構成が使用されてもよい。
例えば、図2Cは、第2のメモリレベル44の下に位置する第1のメモリレベル42を含むモノリシックな3次元アレイ40aの一部を示す略斜視図である。メモリレベル42および44は、それぞれクロスポイントアレイ内に複数のメモリセル10を含む。第1のメモリレベル42と第2のメモリレベル44との間に追加の層(例えば、レベル間誘電体)が存在してもよいことが当業者であれば分かるが、簡略化するために図2Cには示されていない。メモリの追加レベルとして他のメモリアレイ構成が使用されてもよい。図2Cの実施形態では、p形ドープ領域を有するp−i−nダイオードがダイオードの底部に使用されるか頂部に使用されるかに応じて、すべてのダイオードが上向きまたは下向きのように同じ方向に「向く」ことで、ダイオード製造を簡略化してもよい。
例えば、いくつかの実施形態では、メモリレベルは、あらゆる目的のためにその全体が本願明細書において参照により援用されている、「High-Density Three-Dimensional Memory Cell」という米国特許第6,952,030号(特許文献5)で説明されるように形成されてもよい。例えば、図2Dに示されているように、第1のメモリレベルの上部導体は、第1のメモリレベルの上に位置する第2のメモリレベルの下部導体として使用されてもよい。この実施形態では、あらゆる目的のためにその全体が本願明細書において参照により援用されている、2007年3月27日に出願された「Large Array Of Upward Pointing P-I-N Diodes Having Large And Uniform Current」という米国特許出願第11/692,151号(整理番号:SD−MXA−196X)(特許文献6)に記載されているように、隣接するメモリレベルのダイオードは、それぞれ反対方向に向くのが好ましい。例えば、図2Dに示されているように、第1のメモリレベル42のダイオードは、(例えば、ダイオードの底部にp形領域を有する)矢印D1 で示される上向きダイオードあってもよく、第2のメモリレベル44のダイオードは、(例えば、ダイオードの底部にn形領域を有する)矢印D2 で示される下向きダイオードであってもよく、あるいはその逆であってもよい。
モノリシックな3次元メモリアレイは、複数のメモリレベルが介在する基板なしでウェハなどの単一の基板上に形成されるアレイである。1つのメモリレベルを形成する層は、既存の1つまたは複数レベルの層上に直接堆積または成長される。これに対して、積層されたメモリは、Leedy の「Three Dimensional Structure Memory」という米国特許第5,915,167号(特許文献7)の場合のように、別々の基板上にメモリレベルを形成し、そのメモリレベルを互いに重ねて接着することによって構築されている。基板は、ボンディングの前に薄くされても、あるいはメモリレベルから取り除かれてもよいが、メモリレベルが別々の基板上に最初に形成されるので、そのようなメモリは、真のモノリシックな3次元メモリアレイではない。
図3A〜3Dは、ウェハ(図示せず)のように基板上に形成される図2Aのメモリセル10の例示的な実施形態の横断面図を示す。図3Aの第1の例示的な実施形態では、メモリセル10aは、第1の導体20と第2の導体22との間でそれぞれ結合された柱11を含む。柱11は、連続的にダイオード14に接続されるカーボン素子12を含み、また底部電極24a、障壁層28、頂部電極33、シリサイド層50、シリサイド形成金属層52、および金属層35を含むことができる。カーボン素子12、底部電極24a、および頂部電極33はMIM構造13aを形成する。誘電体層58は柱11を実質的に囲む。いくつかの実施形態では、側壁ライナ54は、誘電体層58から柱11の選択された層を分離する。素子性能を改善しかつ/または素子製造を容易にするために、第1の導体20および/または第2の導体22とともに、粘着層、反射防止コーティング層および/または類似物(図示せず)をそれぞれ使用してもよい。
第1の導体20は、タングステン、何らかの適切な金属、高濃度にドープされた半導体材料、導電性シリサイド、導電性シリサイド−ゲルマニド、導電性ゲルマニドなどの何らかの適切な導電性材料も含んでもよい。第2の導体22は、窒化チタンまたは他の同様な障壁層材料を含む障壁層26、およびタングステン、何らかの適切な金属、高濃度にドープされた半導体材料、導電性シリサイド、導電性シリサイド−ゲルマニド、導電性ゲルマニドなどの何らかの適切な導電性材料を含む導電層140を含んでもよい。
ダイオード14は、垂直p−nまたはp−i−nダイオードであってもよく、このダイオードは上向きでも下向きでもよい。隣接するメモリレベルが導体を共有する図2Dの実施形態では、隣接するメモリレベルは、第1のメモリレベルには下向きのp−i−nダイオード、隣接する第2のメモリレベルには上向きのp−i−nダイオード(あるいはその逆)のようにそれぞれ反対方向を向くダイオードを有するのが好ましい。
いくつかの実施形態では、ポリシリコン、多結晶シリコンゲルマニウム合金、多結晶ゲルマニウムまたは他の何らかの適切な材料などの多結晶半導体材料からダイオード14を形成してもよい。例えば、ダイオード14は、高濃度にドープされたn+ポリシリコン領域14a、n+ポリシリコン領域14a上の低濃度にドープされたかまたは真性の(意図せずドープされた)ポリシリコン領域14b、および真性領域14b上の高濃度にドープされたp+ポリシリコン領域14cを含んでもよい。n+領域とp+領域の位置とが逆も可能であることが理解できるはずである。
いくつかの実施形態では、薄いゲルマニウムおよび/またはシリコンゲルマニウム合金層(図示せず)を、n+ポリシリコン領域14aから真性領域14bへのドーパント移動を防ぎかつ/または抑えるために、n+ポリシリコン領域14a上に形成してもよい。例えば、あらゆる目的のためにその全体が本願明細書において参照により援用されている、2005年12月9日に出願された「Deposited Semiconductor Structure To Minimize N-Type Dopant Diffusion And Method Of Making」という米国特許出願第11/298,331号(整理番号:SD−MA−121−1)(特許文献8)には、そのような層の使用法が記載されている。いくつかの実施形態では、約10原子百分率(「at%」)以上のゲルマニウムを有する数百オングストローム以下のシリコンゲルマニウム合金を使用してもよい。窒化チタン、窒化タンタル、窒化タングステン、または他の同様の障壁層材料などの障壁層28を、第1の導体20とn+領域14aとの間に(例えば、ポリシリコン領域への金属原子の移動を防ぎかつ/または抑えるために)形成してもよい。
ダイオード14が、堆積シリコン(例えば、非晶質または多結晶)から形成される場合、ダイオード14上にシリサイド層50を形成して、堆積シリコンを製造時に低抵抗率状態に置いてもよい。そのような低抵抗率状態によって、堆積シリコンを低抵抗率状態に切り換えるのに高い電圧が必要ないので、メモリセル10のプログラミングが容易にできる。例えば、p+ポリシリコン領域14c上に、チタンまたはコバルトなどのシリサイド形成金属層52が堆積されてもよい。いくつかの実施形態では、シリサイド形成金属層52の頂部面に追加の窒化物層(図示せず)を形成してもよい。特に、チタンなどの反応性の高い金属に関して、TiN層などの追加のキャップ層をシリサイド形成金属層52上に形成することもできる。したがって、そのような実施形態では、Ti/TiNスタックは、p+ポリシリコン領域14c上に形成される。
次に、急速熱アニール(「RTA」)ステップを実行して、シリサイド形成金属層52とp+領域14cとの反応によりシリサイド領域を形成することができる。RTAステップは、約650〜750℃、より一般的には約600〜800℃、好ましくは約750℃の温度で、約10〜60秒、より一般的には約10〜90秒、好ましくは約1分の継続時間で実施されてもよく、シリサイド形成金属層52のすべてまたは一部を消費して、シリサイド形成金属層52およびダイオード14の堆積シリコンを相互作用させてシリサイド層50を形成することができる。
あらゆる目的のためにその全体が本願明細書において参照により援用されている、「Memory Cell Comprising A Semiconductor Junction Diode Crystallized Adjacent To A Silicide 」という米国特許第7,176,064号(特許文献9)に記載されているように、チタンおよび/またはコバルトなどのシリサイド形成材料は、シリサイド層を形成するためにアニーリングの間に堆積シリコンと反応する。チタンシリサイドとコバルトシリサイドの格子面間隔はシリコンの格子面間隔に近く、そのようなシリサイド層は堆積シリコンの結晶化時に、隣接する堆積シリコン用に「結晶テンプレート」または「シード」として機能してもよいことが分かる(例えば、アニーリング中にシリサイド層50がシリコンダイオード14の結晶構造を増進させる)。その結果、より低い抵抗率のシリコンが提供される。シリコンゲルマニウム合金および/またはゲルマニウムダイオードに対して同様な結果を達成することができる。
窒化物層がシリサイド形成金属層52の頂部表面に形成される実施形態では、RTAステップの後で、湿式化学処理を使用することで窒化物層を除去することができる。例えば、シリサイド形成金属層52がTiN最上層を含む場合には、残りのどんなTiNを除去するのにも、湿式化学処理(例えば、40〜60℃の間の温度で、10:2:1の比率のH2 O:H22 :NH4 OH)を使用してもよい。
前に議論したように、従来のMIM構造では、頂部電極と底部電極との間に挟み込まれたカーボン層は、炭素材料とTiNとの間の熱膨張係数の違いによる過度の応力および炭素材料とTiNとの間の弱い粘着力の結果、層間剥離および/または底部電極材(多くの場合、TiN)からの剥離の影響を受けやすいかもしれない。本発明の実施形態によれば、炭素材料と隣接する底部電極材料との間の熱膨張係数の違いを減少させることによって、そのような障害の傾向が少ない炭素系MIM構造を形成する。
特に、第1の例示的な実施形態では、底部電極が比較的薄く、縮退ドープされた層の半導体材料として作られる炭素系MIM構造が形成される。第2の例示的な実施形態では、底部電極が導電性シリサイド層として作られる炭素系MIM構造が形成される。第3の例示的な実施形態では、底部電極と炭素材料との間に減少化体積および/または減少化インターフェイス領域を有する底部電極の炭素系MIM構造が形成される。次に、これら例示的な実施形態についてそれぞれ説明する。
縮退ドープされた半導体底部電極
図3Aの例示的な実施形態では、MIM構造13aは、頂部電極33と底部電極24aとの間に挟み込まれたカーボン層12を含む。底部電極24aは、薄い縮退ドープされた層の半導体材料(例えば、シリコン、ゲルマニウム、シリコンゲルマニウム合金、または他の同様の半導体材料)であってもよい。底部電極24aは、ボロン、アルミニウム、ガリウム、インジウム、タリウム、亜リン酸、ヒ素、アンチモン、または他の同様のドーパントとともにドープしてもよい。
例えば、底部電極24aは、1020〜1023cm-3のドーピング濃度、より一般には1018〜1023cm-3のドーピング濃度を有する約50〜100オングストローム、より一般には約50〜200オングストロームのボロンドープシリコンであってもよい。他の半導体材料、層の厚さ、ドーパント、および/またはドーピング濃度を使用してもよい。底部電極24aは、PECVD、熱化学気相成長、低圧化学気相成長(「LPCVD」)、PVD、ALD、またはシラン(「SiH4 」)、ジシラン(「Si26 」)、または他の同様のシリコン含有ガスなどのシリコン含有先駆ガスを使用する他の同様の形成方法により形成することができる。
例えば、表1は、SiH4 とボロンクロライド(「BCL3 」:塩化ホウ素)や、SiH4 とホスフィン(「PH3 」)などの反応ガスをそれぞれp形およびn形ドーパントとして使用して縮退ドープされたシリコンを形成するための例示的なLPCVDプロセス条件について説明する。
Figure 2012507150
他の反応ガス、流量、圧力、および/または温度を使用してもよい。
別の実施形態として、表2は、SiH4 とジボラン(「B26 」)や、SiH4 とPH3 などの反応ガスをそれぞれp形とn形ドーパントとして使用して縮退ドープされたシリコンを形成するための例示的なPECVDプロセス条件について説明する。
Figure 2012507150
他の反応ガス、流量、圧力、および/または温度を使用してもよい。
どんな特定の理論による制約も受けたくないが、従来のTiN底部電極を使用するMIM構造と比べて、比較的薄い層の縮退ドープされた半導体材料を使用して底部電極24aを形成することによって、カーボン層12において熱誘発された応力が減少すると信じられている。例えば、400オングストロームの炭素材料層を550℃でPECVDプロセスによって1,200オングストロームのSiシートフィルムに形成する実験では、カーボン素子における熱誘発された圧縮応力は約300MPaであって、炭素と類似のTiN膜との間の引張応力よりはるかに低い。加えて、炭素とシリコンとの間の界面接着の強さは、炭素とTiNとの間の界面接着の強さよりはるかに大きい。さらに、比較的薄い層の縮退ドープされた半導体材料を使用することによって、底部電極24aが比較的低い抵抗率を有し、切り換えられないと信じられている(低濃度にドープされたポリシリコンは、切り換えられることが知られている)。
再び図3Aを参照すると、カーボン層12は、任意の適切なプロセスや任意の適切な厚さによって形成することもできる。例えば、1つの実施形態では、カーボン層12は、約100〜600オングストローム、より一般的には約1〜1,000オングストロームの厚さを有する、特許文献4で説明されているようなPECVDによって形成されるグラファイトカーボンである。あるいは、化学気相成長(「CVD」)、高密度プラズマ(「HDP」)成長、PVD、または他の同様の方法でカーボン層12を形成することもできる。カーボン層12は、前述したものの代わりに、1つ以上のグラフェン、グラファイト、カーボンナノチューブ材料、DLC、シリコンカーバイド、ボロンカーバイド、または他の同様の炭素系材料を含んでもよい。他の炭素材料、形成プロセス、および厚さが使用されてもよい。
頂部電極33を、原子層成長(「ALD」)、CVD、または他の同様のプロセス技術によりカーボン層12上に形成してもよい。頂部電極33は、約50〜200オングストローム、より一般的には約20〜300オングストロームの窒化チタン、窒化タングステン、窒化タンタル、または他の同様の障壁層の材料であってもよい。他の材料および/または厚さが使用されてもよい。
本発明のいくつかの実施形態では、金属層35は障壁層33の上に堆積できる。例えば、約800〜1,200オングストローム、より一般的には約500オングストローム〜1,500オングストロームのタングステンを障壁層33上に堆積できる。他の材料や厚さが使用されてもよい。金属層35を形成するのに任意の適切な方法が使用されてもよい。例えば、CVD、PVDなどを使用することができる。
本発明のいくつかの方法では、柱11の側壁の周りに共形誘電体ライナ54を形成できる。例えば、誘電体側壁ライナ54は、窒化ボロン、窒化シリコン、または別の同様の誘電体ライナ材料を含んでもよい。ALD、PECVD、または他の同様の方法で誘電体側壁ライナ54を形成してもよい。誘電体側壁ライナ54は、富酸素誘電体58のその後の堆積中にカーボン層12の側壁を保護することができる。
導電性シリサイド底部電極
本発明の代替の実施形態によれば、導電性シリサイド底部電極を使用することでMIM構造を形成することができる。PVD、PECVD、または他の同様な方法でそのようなシリサイド材料を形成してもよい。そのような技術の例について以下に説明する。
PVDシリサイド形成
ここで図3Bを参照すると、代替の例示的なメモリセル10b1が記載されている。メモリセル10b1は、頂部電極33と底部電極24b1との間に挟み込まれたカーボン層12を含むMIM構造13b1を含む。底部電極24b1は、TiSi、TaSi、WSi、CuSi、または他の同様のシリサイド材料などのシリサイド材料であってもよい。例えば、底部電極24b1は、約20〜30オングストローム、より一般的には約10〜50オングストロームのTiSiであってもよい。他の層の厚さが使用されてもよい。
本発明の例示的な実施形態では、シリサイド層50の形成に関して前に説明したように、底部電極24b1を形成してもよい。例えば、底部電極24b1は、PVDによりp+ポリシリコン領域14c上にTi/TiNスタックとして形成してもよい。堆積するTi層の酸化を防ぐために、同じPVDチャンバ内でTi層上にTiN層を形成することができる。TiSi底部電極24b1を形成するために、RTAステップを実行して、p+領域14cとTi層を反応させることができる。RTAステップは、約650〜750℃、より一般的には約600〜800℃、好ましくは約750℃の温度で、約10〜60秒、より一般的には約10〜90秒、好ましくは約1分の継続時間で実施されてもよい。RTAステップに続いて、湿式化学処理を使用することでTiN層を除去することができる。例えば、残りのどんなTiNを除去するのにも、湿式化学処理(例えば、約40〜60℃の温度で、10:2:1の比率のH2 O:H22 :NH4 OH)を使用してもよい。
その場での (In-situ)シリサイド形成
ここで図3Cを参照すると、別の代替の例示的なメモリセル10b2が記載されている。メモリセル10b2は、頂部電極33と底部電極24b2との間に挟み込まれたカーボン層12を含むMIM構造13b2を含む。底部電極24b2は、TiSi、TaSi、WSi、CuSi、または他の同様のシリサイド材料などのシリサイド材料であってもよい。例えば、底部電極24b2は、約20〜30オングストローム、より一般的には約10〜50オングストロームのTiSiであってもよい。他の層の厚さが使用されてもよい。この例示的な実施形態では、メモリセル10b2は、ステアリング素子を含んでいない。前に説明したように、そのようなメモリセルは、薄膜トランジスタ、ダイオード、または他の同様のステアリング素子などの離れて位置するステアリング素子ととも使用してもよい。メモリセル10b2がダイオード14などのステアリング素子を代わりに含むことができることが当業者であれば理解できるはずである。
底部電極24b2とカーボン層12を同じプロセスチャンバで形成することが可能である(本願明細書では「その場での形成」と称する)。例えば、カーボン層12を形成するのに使用されるPECVDチャンバで底部電極24b2を形成することができる。まず、PVDにより金属層24b2(例えば、Ti、Ta、W、Cuまたは他の同様の金属)が第1の導体20上に形成される。例えば、底部電極24b2は、約20〜30オングストローム、より一般的には約10〜50オングストロームのTiであってもよい。他の層材料や厚さが使用されてもよい。次いで、カーボン層12を形成するのに使用されるPECVDプロセスチャンバに基板を置くことができる。NH3 、H2 、または他の同様のガスなどの還元ガスは、金属層24b2の表面からどんな金属酸化物も取り除くためにプラズマ中で燃焼(酸化)することができる。表3は、例示的なNH3 とH2 の処理プロセスパラメータを示す。
Figure 2012507150
次いで、SiH4 、Si26 、または他の同様のシリコン含有ガスなどのシリコン含有先駆ガスと金属層とを熱反応させることによって、シリサイド層を金属層24b2上に形成できる。例えば、表4は、シラン(一般的に「シラン浸漬 (silane soak)」と称する)を使用するTiSi底部電極24b2をその場で形成するための例示的なプロセス条件について説明する。
Figure 2012507150
本発明の実施形態によれば、基板上に一様にシランを分散するのに比較的高いN2 流量を使用できる。さらに、浸漬温度、時間、および/またはシラン濃度を増加させることによってシランをTi層に浸漬するのをかなり促進できる。さらに、シラン浸漬の複数サイクルがMSixを形成するために実行可能であることが当業者であれば理解できるはずである。ここでMは金属層(例えば、Ti)であり、x=1〜6である。最終的に、カーボン層12を形成するのに使用されるALD用、熱CVD用、LPCVD用チャンバ、および他の同様のプロセスチャンバなどの他の種類のプロセスチャンバでシリサイド底部電極24b2をその場で形成してもよいことが当業者であれば理解できるはずである。
減少化体積/接触面積底部電極
ここで図3Dを参照すると、さらに別の代替の例示的なメモリセル10cが記載されている。メモリセル10cは、頂部電極33と底部電極24cとの間に挟み込まれたカーボン層12を含むMIM構造13cを含む。底部電極24cを、従来の底部電極材を使用することができるが、底部電極とカーボン層12との間に減少化体積および/または減少化インターフェイス領域を持たせるように形成してもよい。例えば、底部電極24cは、約25〜50オングストローム、より一般的には約25〜100オングストロームのTiN、TaN、WN、Mo、または他の同様の障壁層の材料であってもよい。他の厚さと材料が使用されてもよい。
このように、従来の方法で形成された底部電極層が約50〜100オングストロームの窒化チタンである一方で、底部電極24cはその約半分の厚さである。この点で、従来の方法で形成された底部電極と比べて、底部電極24cの厚さと体積とが減少する。ある研究では、ブランケット膜界面応力が膜厚に比例することが示されている。このように、どんな特定の理論による制約も受けたくないが、底部電極24cの厚さと体積を減少させることが、カーボン層12における熱膨張係数不整合により誘発される界面応力を減少させることができると信じられている。
加えてあるいは代わりに、底部電極24cの直径をカーボン層12の直径より小さくして、カーボン層12と底部電極24cとの間のインターフェイス領域を減少させることができる。例えば、底部電極24cの直径を、エッチング、シュリンキング (shrinking)、または他の同様の方法で減少させてもよい。どんな特定の理論による制約も受けたくないが、カーボン層12と底部電極24cとの間のインターフェイス領域を減少させることが、カーボン層12における熱膨張係数不整合により誘発される界面応力を減少させることができると信じられている。
図3A、3B、および3Dに示されている例示的な実施形態では、ダイオード14上にカーボン層12を示しているが、ダイオード14の下にカーボン層12を代わりに位置させることができることも当業者であれば理解できるはずである。さらに、例示的なメモリセル10a、10b1、および10cは、それぞれダイオード14と結合されたMIM構造13a、13b1、および13cを含むが、本発明によるメモリセル10が、離れた位置に形成されたステアリング素子とともに使用されるため、第1の導体20と第2の導体22との間でそれぞれの導体と結合されたMIM構造を代わりに含んでもよいことが当業者であれば理解できるはずである。
メモリセルのための例示的な形成プロセス
ここで図4A〜4Gを参照すると、本発明による例示的なメモリレベルを形成する例示的な方法が記載されている。特に、図4A〜4Gは、図3A〜3Dで示されているようなメモリセル10を含む例示的なメモリレベルを形成する例示的な方法を示す。以下に説明するように、第1のメモリレベルは、それぞれステアリング素子とステアリング素子と結合された炭素系可逆抵抗スイッチング素子を含む複数のメモリセルを含む。(前に図2C〜2Dを参照してこれまで説明した)第1のメモリレベルの上に追加のメモリレベルが製造されてもよい。
図4Aを参照すると、基板100は、いくつかのプロセスステップが既に施されたものとして示されている。基板100は、追加の回路の有無にかかわらず、シリコン、ゲルマニウム、シリコン−ゲルマニウム、非ドープ、ドープ、バルク、シリコンオンインシュレータ(「SOI」)または他の基板などの任意の適切な基板であってもよい。例えば、基板100は、1つ以上のn形ウェル領域またはp形ウェル領域(図示せず)を含んでもよい。
絶縁層102は基板100上に形成される。いくつかの実施形態では、絶縁層102は、二酸化シリコン、窒化シリコン、酸窒化シリコンの層、または任意の他の適切な絶縁層であってもよい。
絶縁層102の形成に続いて、(例えば、物理気相成長法または別の方法によって)絶縁層102の上に粘着層104が形成される。例えば、粘着層104は、約20〜500オングストローム、好ましくは約100オングストロームの窒化チタン、あるいは窒化タンタル、窒化タングステン、1つ以上の粘着層の組み合わせなどの別の適切な粘着層であってもよい。他の粘着層の材料および/または厚さが使用されてもよい。いくつかの実施形態では、粘着層104は任意であってもよい。
粘着層104の形成後に、粘着層104の上に導電層106が堆積される。導電層106は、タングステン、あるいは別の適切な金属、高濃度にドープされた半導体材料、導電性シリサイド、導電性シリサイド−ゲルマニド、導電性ゲルマニド、または何らかの適切な方法(例えば、CVD、PVDなど)によって堆積されるなどの何らかの適切な導電性材料も含んでもよい。少なくとも1つの実施形態では、導電層106は、約200〜2,500オングストロームのタングステンを含んでもよい。他の導電層の材料および/または厚さが使用されてもよい。
導電層106の形成に続いて、粘着層104および導電層106がパターニングされてエッチングされる。例えば、粘着層104および導電層106は、ソフトマスクまたはハードマスク、および湿式エッチングまたは乾式エッチングを用いる従来のリソグラフィ技術を使用して、パターニングおよびエッチングされてもよい。少なくとも1つの実施形態では、粘着層104および導電層106はパターニングおよびエッチングされて、実質的に平行で実質的に第1の導体20と同一平面を形成する。例示的な第1の導体20の幅および/または第1の導体20同士の間隔は、約200〜2,500オングストロームの範囲であるが、他の導体の幅および/または間隔が使用されてもよい。
第1の導体20の形成後に、基板100の上に誘電体層58aが形成されて、第1の導体20同士の隙間を充填する。例えば、基板100上に約3,000〜7,000オングストロームの二酸化シリコンが堆積され、化学機械研磨またはエッチバックプロセスを使用して平坦化することによって平面110を形成してもよい。(図に示されているように、)平面110は、誘電材料によって分離される第1の導体20の露出された頂部面を含む。窒化シリコン、酸窒化シリコン、low−K(低誘電率)誘電体などの他の誘電材料および/または他の誘電体層の厚さが使用されてもよい。例示的なlow−K誘電体は、炭素ドープ酸化物、シリコン炭素層などを含む。
本発明の他の実施形態では、ダマシンプロセスを使用して第1の導体20が形成されてもよいが、その場合、誘電体層58aが形成され、パターニングおよびエッチングされて第1の導体20のための開口部または隙間が作製される。開口部または隙間は、粘着層104および導電層106(および/または導電性シード、導電性充填材および/または必要に応じて障壁層)で充填されてもよい。次いで、粘着層104および導電層106は平坦化されて、平面110を形成してもよい。この実施形態では、粘着層104は、各開口部または隙間の底部および側壁を内張りすることになる。
平坦化に続いて、それぞれのメモリセルのダイオード構造が形成される。図4Bを参照すると、障壁層28は、基板100の平面110上に形成される。障壁層28は、約20〜500オングストローム、好ましくは約100オングストロームの窒化チタンまたは窒化タンタル、窒化タングステン、1つ以上の障壁層の組み合わせ、チタン/窒化チタンスタック、タンタル/窒化タンタルスタックまたはタングステン/窒化タングステンスタックなどの他の層と組み合わせた障壁層などの別の適切な障壁層であってもよい。他の障壁層の材料および/または厚さが使用されてもよい。
障壁層28の堆積後、それぞれのメモリセルのダイオードを形成するのに使用される半導体材料の堆積が始まる(例えば、図1と3におけるダイオード14)。各ダイオードは、前に説明したように、垂直なp−nまたはp−i−nダイオードであってもよい。いくつかの実施形態では、各ダイオードは、ポリシリコン、多結晶シリコンゲルマニウム合金、多結晶ゲルマニウムまたは任意の他の適切な材料などの多結晶半導体材料から形成される。便宜上、ポリシリコンの形成は、本願明細書では下向きの方向性のダイオードを記載する。他の材料および/またはダイオード構成を使用できることも理解できるはずである。
図4Bを参照すると、障壁層28の形成に続いて、障壁層28の上に高濃度にドープされたn+シリコン層14aが堆積される。いくつかの実施形態では、n+シリコン層14aは、堆積時に非晶質状態である。他の実施形態では、n+シリコン層14aは、堆積時に多結晶状態である。CVDまたは別の適切なプロセスを使用して、n+シリコン層14aを堆積してもよい。少なくとも1つの実施形態では、n+シリコン層14aは、例えば、約1021cm-3のドーピング濃度を有する約100〜1,000オングストローム、好ましくは約100オングストロームの亜リン酸またはヒ素でドープされたシリコンから形成されてもよい。他の層の厚さ、ドーピング型および/またはドーピング濃度が使用されてもよい。例えば、n+シリコン層14aは、堆積中にドナーガスを流すことによって、その場でドープされてもよい。他のドーピング方法が使用されてもよい(例えば、注入法)。
n+シリコン層14aの堆積後に、n+シリコン層14aの上に低濃度にドープされた真性および/または意図せずにドープされたシリコン層14bが形成される。いくつかの実施形態では、真性シリコン層14bは、堆積時に非晶質状態である。他の実施形態では、真性シリコン層14bは、堆積時に多結晶状態である。CVDまたは別の適切な堆積法を使用して、真性シリコン層14bを堆積してもよい。少なくとも1つの実施形態では、真性シリコン層14bは、厚さが約500〜4,800オングストローム、好ましくは約2,500オングストロームであってもよい。他の真性層の厚さが使用されてもよい。
n+シリコン層14aから真性シリコン層14bへのドーパント移動を防ぎかつ/または低減するために、真性シリコン層14bを堆積する前に、薄い(例えば、数百オングストローム以下の)ゲルマニウムおよび/またはシリコンゲルマニウム合金層(図示せず)を(前に援用されている特許文献8に記載されているように)n+シリコン層14a上に形成してもよい。
高濃度にドープされたp形シリコンが堆積されイオン注入法によってドープされるか、あるいは堆積中にその場でドープされてp+シリコン層14cを形成する。例えば、ブランケットp+注入を使用して、真性シリコン層14b内に所定の深さでボロンを注入してもよい。例示的な注入可能な分子イオンは、BF2 、BF3 、Bなどを含む。いくつかの実施形態では、約1〜5×1015イオン/cm2 の注入ドーズ量が使用されてもよい。他の注入種および/またはドーズ量が使用されてもよい。さらに、いくつかの実施形態では、拡散プロセスが使用されてもよい。少なくとも1つの実施形態では、その結果得られるp+シリコン層14cは、約100〜700オングストロームの厚さを有するが、他のp+シリコン層サイズが使用されてもよい。
p+シリコン層14cの形成に続いて、p+シリコン層14cの上にシリサイド形成金属層52が堆積される。例示的なシリサイド形成金属は、スパッタあるいはそうではなく堆積チタンまたはコバルトを含む。いくつかの実施形態では、シリサイド形成金属層52は、約10〜200オングストローム、好ましくは約20〜50オングストローム、さらに好ましくは約20オングストロームの厚さを有する。他のシリサイド形成金属層の材料および/または厚さが使用されてもよい。シリサイド形成金属層52の頂部に窒化物層(図示せず)を形成してもよい。
シリサイド形成金属層52の形成に続いて、シリサイド形成金属層52のすべてまたは一部を消費して、シリサイド層50を形成するためにRTAステップを実行してもよい。RTAステップは、約650〜750℃、より一般的には約600〜800℃、好ましくは約750℃の温度で、約10〜60秒、より一般的には約10〜90秒、好ましくは約1分の継続時間で実施されてもよい。RTAステップに続いて、前に説明したように、また当該技術分野で知られているように、湿式化学処理を使用することによってシリサイド形成金属層52から残りのどんな窒化物層も除去できる。
RTAステップおよび窒化物除去ステップに続いて、底部電極24が堆積される。図3Aに関連して前に説明したように、底部電極24は、薄い縮退ドープされた層の半導体材料(例えば、シリコン、ゲルマニウム、シリコンゲルマニウム合金、または他の同様の半導体材料)であってもよい。例えば、底部電極24は、約1020〜1023cm-3、より一般的には約1018〜1023cm-3のドーピング濃度を有する、約50〜100オングストローム、より一般的には約50〜200オングストロームのボロンドープシリコンであってもよい。例えば、底部電極24は、前に表1で説明したプロセスパラメータを使用してLPCVDによって形成されるか、または前に表2で説明したプロセスパラメータを使用してPECVDによって形成される縮退ドープされたシリコンであってもよい。
あるいは、底部電極24は、図3Bと3Cに関連して前に説明したように形成されたシリサイド層であってもよい。例えば、底部電極24は、前に表3と表4で説明したようなプロセスを使用して、その場で形成されることにより形成された約20〜30オングストローム、より一般的には約10〜50オングストロームのTiSiであってもよい。
あるいは、図3Dに関連して前に説明したように、底部電極24を、従来の底部電極材を使用して形成してもよいが、底部電極とカーボン層12との間に減少化体積および/または減少化インターフェイス領域を持たせるように形成してもよい。例えば、底部電極24は、約25〜50オングストローム、より一般的には約25〜100オングストロームのTiN、TaN、WN、Mo、または他の同様の障壁層の材料であってもよい。
次いで、障壁層24の上にカーボン層12が堆積される。例えば、PECVD法でカーボン層12を形成してもよい。制約なしで、ターゲットからのスパッタ堆積、PVD、CVD、アーク放電法、およびレーザアブレーションを含む他の方法を使用することもできる。例えば、ダマシン集積法などの他の方法を使用して、カーボン層12を形成してもよい。カーボン層12は、グラファイトカーボンを含んでもよい。代替の実施形態では、グラフェン、グラファイト、カーボンナノチューブ材料、DLC、シリコンカーバイド、ボロンカーバイド、または他の同様の炭素系材料などの他の炭素系材料を使用してもよい。カーボン層12は、約100〜600オングストローム、より一般的には約1〜1,000オングストロームを有して形成される。他の厚さが使用されてもよい。
次いで、カーボン層12上に障壁層33が形成される。障壁層33は、TiN、TaN、WN、Mo、別の適切な障壁層、1つ以上の障壁層の組み合わせ、Ti/TiN、Ta/TaN、W/WNスタックなどの他の層と組み合わせた障壁層であってもよい。他の障壁層の材料が使用されてもよい。あらゆる目的のためにその全体が本願明細書において参照により援用されている、2009年8月5日に出願された「Memory Cell That Includes A Carbon-Based Memory Element And Methods Of Forming The Same 」という米国特許出願第12/536,457号(整理番号:SD−MXA−335)(特許文献10)に記載されているように、障壁層33をALDによって形成することができる。他の実施形態では、障壁層33をCVD技術または他の同様の堆積技術を使用して形成してもよい。
次いで、障壁層33の上に金属層35が堆積されてもよい。例えば、障壁層33の上に約800〜1,200オングストローム、より一般的には約500オングストローム〜1,500オングストロームのタングステンを堆積してもよい。他の材料と厚さが使用されてもよい。何らかの適切な方法を使用して金属層35を形成してもよい。例えば、CVD、PVDなどを使用してもよい。さらに以下に詳細に説明するように、金属層35をハードマスク層として使用してもよく、またその後の化学機械平坦化(「CMP」)ステップ中にストップとして使用してもよい。ハードマスクは、エッチング層であって、下位層のエッチングをパターニングするように機能されている。
図4Cに示されているように、金属層35がパターニングされ、エッチングされて、パターニングされた金属ハードマスク領域35を形成する。パターニングされた金属ハードマスク領域35をそれぞれ導体20の上に形成するため、パターニングされた金属ハードマスク領域35は下の導体20とほぼ同じくらいのピッチとほぼ同じくらいの幅を有する。いくらかの位置ずれは許容されてもよい。パターニングされた金属ハードマスク領域35が導体20より小さな幅を有することができることが当業者であれば理解できるはずである。
例えば、標準のフォトリソグラフィ技術を使用してパターニングされた金属層35の上にフォトレジスト(「PR」)を堆積させてもよく、次いでフォトレジストを取り除いてもよい。あるいは、ある他の材料のハードマスク、例えば二酸化シリコンを、底部反射防止コーティング(「BARC: bottom antireflective coating」)が頂部にある金属層33の上に形成して、パターニングおよびエッチングすることができる。同様に、ハードマスクとして誘電体反射防止コーティング(「DARC: dielectric antireflective coating」)を使用してもよい。
図4Dに示されているように、柱132を形成するために、金属ハードマスク領域35を使用して、障壁層33、カーボン層12、底部電極24、シリサイド形成金属層52、ダイオード層14a〜14c、および障壁層28をパターニングおよびエッチングする。導体20の上に各柱132を形成するように、柱132は下の導体20とほぼ同じくらいのピッチとほぼ同じくらいの幅を有する。いくらかの位置ずれが許容されてもよい。柱132が導体20より小さな幅を有することができることが当業者であれば理解できるはずである。
任意の適切なエッチング化学処理、任意の適切なエッチングパラメータ、流量、チャンバ圧力、電力レベル、プロセス温度、および/またはエッチングレートを使用してもよい。いくつかの実施形態では、障壁層33、カーボン素子12、底部電極24、シリサイド形成金属層52、ダイオード層14a〜14c、および障壁層28は、シングルエッチングステップを使用してパターンニングしてもよい。他の実施形態では、分離エッチングステップを使用することもできる。エッチングは誘電体層58aまで行われる。
いくつかの例示的な実施形態では、メモリセル層は、炭素材料へのダメージを最小にするかまたは回避するために選択される化学処理を使用してエッチングされる。例えば、O2 、CO、N2 、H2 、または他の同様の物質による化学処理を使用してもよい。CNT材料がメモリセルで使用されている実施形態では、酸素(「O2 」)、ボロントリクロリド(「BCl3 :三塩化ホウ素」)、および/または塩素(「CI2 」)による化学処理、または他の同様の化学処理を使用してもよい。任意の適切なエッチングパラメータ、流量、チャンバ圧力、電力レベル、プロセス温度、および/またはエッチングレートを使用してもよい。例えば、あらゆる目的のためにその全体が本願明細書において参照により援用されている、2009年3月31日に出願された「Electronic Devices Including Carbon-Based Films Having Sidewall Liners, and Methods of Forming Such Devices 」という米国特許出願第12/415,964号(整理番号:SD−MXA−315)(特許文献11)には、エッチング炭素材料のための例示的な方法が記載されている。
メモリセル層がエッチングされた後に、柱132を洗浄できる。いくつかの実施形態では、希薄フッ化水素酸/硫酸洗浄が実行される。モンタナ州カリスペルのSemitool社から入手可能であるRaiderツールのような任意の適切な洗浄ツールでポストエッチ洗浄を実行してもよい。例示的なポストエッチ洗浄は、約60秒間の超希薄硫酸(例えば、約1.5〜1.8wt%(重量%))、および約60秒間の超希薄フッ化水素酸(「HF」)(例えば、約0.4〜0.6wt%(重量%))の使用を含むことができる。Megasonics社のものを使用してもよいし、使用しなくてもよい。あるいは、H2 SO4 が使用されてもよい。
本発明により、また図4Dに示されているように、共形誘電体ライナ54は、柱132の上やその周りに堆積する。誘電体ライナ54は、(図4Dには示されていない)引き続き高酸素ギャップ充填誘電体58b(例えば、SiO2 )のその後の堆積中に、貧酸素堆積化学処理 (oxygen-poor deposition chemistry) (例えば、高酸素プラズマ成分のない)で形成されて、カーボン層12の側壁を保護する。
本発明の例示的な実施形態では、BNから誘電体ライナ54を形成してもよい。あるいは、(低酸素含有で)SiN、SixCyNzやSixOyNzなどの他の材料から誘電体側壁ライナ54を形成してもよい。ここで、x、y、およびzは、安定した化合物となるようなゼロではない数字である。特許文献10に記載されているように、ALD、PECVD、または他の同様のプロセスで誘電体ライナ54を形成してもよい。本発明のいくつかの実施形態では、誘電体ライナ54は、ALDによって形成され、約100オングストローム〜250オングストローム、より一般的には約100オングストローム〜300オングストロームの厚さを有する。他の厚さが使用されてもよい。
図4Eを参照すると、柱132の側面上で誘電体ライナ54の側壁部分だけを残して、誘電体ライナ54の外側部を取り除くのに異方性エッチングが使用される。例えば、スパッタエッチングまたは他の適切なプロセスを、ライナ54を異方性エッチングするために使用してもよい。側壁誘電体ライナ54は、以下に説明するように、誘電体層58b(図4Eには示されていない)の堆積中に、ダメージからカーボン素子12の炭素材料を保護できる。
次いで、柱132の上に誘電体層58bが、柱132の間をギャップ充填するために堆積される。例えば、図4Fに示されている構造の結果として、約2,000〜7,000オングストロームの二酸化シリコンを堆積し、平面136を形成するために過剰な誘電体層材料58bを除去するのにCMPまたはエッチバックプロセスを使用して平坦化してもよい。平坦化プロセス中に、CMPストップとして障壁層33を使用してもよい。(図に示されているように、)平面136は、誘電材料58bによって分離された柱132の露出した頂部面を含む。窒化シリコン、酸窒化シリコン、low−K誘電体などの他の誘電材料を誘電体層58bの代わりに使用してもよく、かつ/または他の誘電体層の厚さが使用されてもよい。例示的なlow−K誘電体は、炭素ドープ酸化物、シリコン炭素層などを含む。
図4Gを参照すると、第1の導体20を形成するのと同様な方法で、柱132の上に第2の導体22を形成することができる。例えば、いくつかの実施形態では、1つ以上の障壁層および/または粘着層26を、第2の導体22を形成するのに使用される導電層140の堆積前に柱132の上に堆積してもよい。
導電層140は、タングステン、別の適切な金属、高濃度にドープされた半導体材料、導電性シリサイド、導電性シリサイド−ゲルマニド、導電性ゲルマニド、あるいはPVDまたは任意の他の適切な方法(例えば、CVDなど)によって堆積されるなどの何らかの適切な導電性材料から形成されてもよい。他の導電層の材料が使用されてもよい。障壁層および/または粘着層26は、窒化チタン、あるいは窒化タンタル、窒化タングステン、1つ以上の層の組み合わせ、または何らかの他の適切な材料などの別の適切な層を含んでもよい。堆積された導電層140、および障壁層および/または粘着層26は、パターニングおよびエッチングされて第2の導体22を形成する。少なくとも1つの実施形態では、第2の導体22は、第1の導体20と異なる方向に延在する実質的に平行で、実質的に同一平面の導体である。
本発明の他の実施形態では、ダマシンプロセスを使用して第2の導体22が形成されてもよいが、その場合、誘電体層が形成されパターニングおよびエッチングされて導体22のための開口部または隙間が作製される。開口部または隙間は、粘着層26および導電層140(および/または導電性シード、導電性充填材および/または必要に応じて障壁層)で充填されてもよい。次いで、粘着層26および導電層140は平坦化されて平面を形成してもよい。
第2の導体22の形成に続いて、得られた構造をアニールして、ダイオード14の堆積半導体材料を結晶化(および/またはシリサイド形成金属層52とp+領域14cとの反応によってシリサイド領域を形成)してもよい。チタンシリサイドとコバルトシリサイドの格子面間隔はシリコンの格子面間隔に近く、そのようなシリサイド層50は、堆積シリコンの結晶化時に、隣接する堆積シリコン用に「結晶テンプレート」または「シード」として機能してもよい(例えば、約600〜800℃の温度でのアニーリング中にシリサイド層50がシリコンダイオード14の結晶構造を増進させる)。その結果、低抵抗ダイオードの材料を提供する。シリコンゲルマニウム合金および/またはゲルマニウムダイオードのために同様の結果を達成することができる。
このように少なくとも1つの実施形態では、結晶化アニーリングを、約10秒〜2分間に窒素中で、約600〜800℃、より好ましくは約650〜750℃の温度で実行してもよい。他のアニーリング時間、温度、および/または環境が使用されてもよい。
他の同様の技術により本発明による代替のメモリセルを製造することができることが当業者であれば理解できるはずである。例えば、ダイオード14の下に可逆抵抗スイッチング素子12を含むメモリセルを形成してもよい。さらに、本発明によるメモリセルを、薄膜トランジスタ、ダイオード、または他の同様のステアリング素子などの離れて位置するステアリング素子とともに使用してもよい。さらに、図4A〜4Gは、本発明によるメモリレベルを形成する例示的な「ストレート集積」法を示すが、ダマシン集積法を代わりに使用してもよいことが当業者であれば理解できるはずである。
前述した説明は、本発明の例示的な実施形態のみを開示している。本発明の範囲内にある前述した装置および方法を変更することは、当業者であれば容易に思い当たるはずである。主としてグラファイトカーボンに関して本発明を説明してきたが、他の炭素系材料を同様に使用してもよい。
したがって、本発明をその例示的な実施形態に関連して開示してきたが、当然のことながら、他の実施形態が、添付の特許請求の範囲によって定義される本発明の趣旨および範囲に含まれてもよいことが理解できるはずである。

Claims (95)

  1. 可逆的に抵抗を切り換える金属−絶縁物−金属(MIM)スタックを形成する方法であって、
    縮退ドープされた半導体材料を含む第1の導電層を形成するステップと、
    第1の導電層上に炭素系可逆抵抗スイッチング材料を形成するステップと、
    を含む方法。
  2. 請求項1記載の方法において、
    第1の導電層は、シリコン、ゲルマニウム、およびシリコンゲルマニウム合金のうちの1つ以上を含む方法。
  3. 請求項1記載の方法において、
    第1の導電層は、ボロン、アルミニウム、ガリウム、インジウム、タリウム、亜リン酸、ヒ素およびアンチモンのうちの1つ以上を含む方法。
  4. 請求項1記載の方法において、
    第1の導電層は、1018/cm3 〜1023/cm3 のドーピング濃度を有する方法。
  5. 請求項1記載の方法において、
    第1の導電層は、1020/cm3 〜1023/cm3 のドーピング濃度を有する方法。
  6. 請求項1記載の方法において、
    第1の導電層は、プラズマ強化化学気相成長(PECVD)、熱化学気相成長、低圧化学気相成長(LPCVD)、物理気相成長、および原子層成長法のいずれかで形成される方法。
  7. 請求項1記載の方法において、
    第1の導電層を形成するステップは、シラン、ジシラン、ボロンクロライド、ジボラン、ホスフィン、およびヘリウムガスのうちの1つ以上を使用したPECVDプロセスを使用することを含む方法。
  8. 請求項7記載の方法において、
    PECVDプロセスは、1分あたり10〜200標準立方センチメートルの流量でシランを使用する方法。
  9. 請求項7記載の方法において、
    PECVDプロセスは、1分あたり10〜200標準立方センチメートルの流量でジボランを使用する方法。
  10. 請求項7記載の方法において、
    PECVDプロセスは、1分あたり10〜200標準立方センチメートルの流量でホスフィンを使用する方法。
  11. 請求項7記載の方法において、
    PECVDプロセスは、450〜600℃の温度で実行される方法。
  12. 請求項7記載の方法において、
    PECVDプロセスは、3〜8Torrの圧力で実行される方法。
  13. 請求項1記載の方法において、
    第1の導電層を形成するステップは、シラン、ジシラン、ボロンクロライド、ジボラン、ホスフィン、およびヘリウムガスのうちの1つ以上を使用したLPCVDプロセスを使用することを含む方法。
  14. 請求項13記載の方法において、
    LPCVDプロセスは、1分あたり125〜375標準立方センチメートルの流量でシランを使用する方法。
  15. 請求項13記載の方法において、
    LPCVDプロセスは、1分あたり20〜80標準立方センチメートルの流量でボロンクロライドを使用する方法。
  16. 請求項13記載の方法において、
    LPCVDプロセスは、1分あたり20〜80標準立方センチメートルの流量でホスフィンを使用する方法。
  17. 請求項13記載の方法において、
    LPCVDプロセスは、450〜650℃の温度で実行される方法。
  18. 請求項13記載の方法において、
    LPCVDプロセスは、200〜1,000ミリTorrの圧力で実行される方法。
  19. 請求項1記載の方法において、
    第1の導電層は、50〜200オングストロームの厚さからなる方法。
  20. 請求項1記載の方法において、
    炭素系可逆抵抗スイッチング材料は、ナノ結晶質グラフェン含有アモルファスカーボン、グラフェン、グラファイト、カーボンナノチューブ、アモルファスダイアモンド状カーボン、シリコンカーバイド、およびボロンカーバイドのうちの1つ以上を含む方法。
  21. 可逆的に抵抗を切り換える金属−絶縁物−金属(MIM)スタックを形成する方法であって、
    シリサイドを含む第1の導電層を形成するステップと、
    第1の導電層上に炭素系可逆抵抗スイッチング材料を形成するステップと、を含み、
    第1の導電層と炭素系可逆抵抗スイッチング材料は、同じプロセスチャンバで形成される方法。
  22. 請求項21記載の方法において、
    プロセスチャンバは、プラズマ強化化学気相成長チャンバ、原子層成長チャンバ、熱化学気相成長チャンバ、および低圧化学気相成長チャンバのいずれかを含む方法。
  23. 請求項21記載の方法において、
    第1の導電層を形成するステップは、
    金属層を形成することと、
    金属シリサイドを形成するための金属層とシリコン含有ガスとを熱反応させることと、
    を含む方法。
  24. 請求項23記載の方法において、
    金属層は、チタン、タンタル、タングステン、および銅のうちの1つ以上を含む方法。
  25. 請求項23記載の方法において、
    金属層は、10〜50オングストロームの厚さからなる方法。
  26. 請求項23記載の方法において、
    シリコン含有ガスは、シランとジシランのうちの1つ以上を含む方法。
  27. 請求項23記載の方法において、
    熱反応させることは、1分あたり200〜500標準立方センチメートルの流量でシリコン含有ガスを使用することを含む方法。
  28. 請求項23記載の方法において、
    熱反応させることは、1分あたり1,000〜10,000標準立方センチメートルの流量で窒素ガスを使用することを含む方法。
  29. 請求項23記載の方法において、
    熱反応させることは、350〜550℃の温度で実行される方法。
  30. 請求項23記載の方法において、
    熱反応させることは、3〜8Torrの圧力で実行される方法。
  31. 請求項23記載の方法において、
    熱反応させることは、10〜120秒で実行される方法。
  32. 請求項21記載の方法において、
    炭素系可逆抵抗スイッチング材料は、ナノ結晶質グラフェン含有アモルファスカーボン、グラフェン、グラファイト、カーボンナノチューブ、アモルファスダイアモンド状カーボン、シリコンカーバイド、およびボロンカーバイドのうちの1つ以上を含む方法。
  33. メモリセルを形成する方法であって、
    縮退ドープされた半導体材料を含む第1の導電層を形成するステップと、
    第1の導電層上に炭素系可逆抵抗スイッチング材料を形成するステップと、
    炭素系可逆抵抗スイッチング材料上に第2の導電層を形成するステップと、
    を含む方法。
  34. 請求項33記載の方法において、
    第1の導電層は、シリコン、ゲルマニウム、およびシリコンゲルマニウム合金のうちの1つ以上を含む方法。
  35. 請求項33記載の方法において、
    第1の導電層は、ボロン、アルミニウム、ガリウム、インジウム、タリウム、亜リン酸、ヒ素およびアンチモンのうちの1つ以上を含む方法。
  36. 請求項33記載の方法において、
    第1の導電層は、1018/cm3 〜1023/cm3 のドーピング濃度を有する方法。
  37. 請求項33記載の方法において、
    第1の導電層は、1020/cm3 〜1023/cm3 のドーピング濃度を有する方法。
  38. 請求項33記載の方法において、
    第1の導電層は、プラズマ強化化学気相成長(PECVD)、熱化学気相成長、低圧化学気相成長(LPCVD)、物理気相成長、および原子層成長法のいずれかで形成される方法。
  39. 請求項33記載の方法において、
    第1の導電層を形成するステップは、シラン、ジシラン、ボロンクロライド、ジボラン、ホスフィン、およびヘリウムガスのうちの1つ以上を使用したPECVDプロセスを使用することを含む方法。
  40. 請求項39記載の方法において、
    PECVDプロセスは、1分あたり10〜200標準立方センチメートルの流量でシランを使用する方法。
  41. 請求項39記載の方法において、
    PECVDプロセスは、1分あたり10〜200標準立方センチメートルの流量でジボランを使用する方法。
  42. 請求項39記載の方法において、
    PECVDプロセスは、1分あたり10〜200標準立方センチメートルの流量でホスフィンを使用する方法。
  43. 請求項39記載の方法において、
    PECVDプロセスは、450〜600℃の温度で実行される方法。
  44. 請求項39記載の方法において、
    PECVDプロセスは、3〜8Torrの圧力で実行される方法。
  45. 請求項33記載の方法において、
    第1の導電層を形成するステップは、シラン、ジシラン、ボロンクロライド、ジボラン、ホスフィン、およびヘリウムガスのうちの1つ以上を使用したLPCVDプロセスを使用することを含む方法。
  46. 請求項45記載の方法において、
    LPCVDプロセスは、1分あたり125〜375標準立方センチメートルの流量でシランを使用する方法。
  47. 請求項45記載の方法において、
    LPCVDプロセスは、1分あたり20〜80標準立方センチメートルの流量でボロンクロライドを使用する方法。
  48. 請求項45記載の方法において、
    LPCVDプロセスは、1分あたり20〜80標準立方センチメートルの流量でホスフィンを使用する方法。
  49. 請求項45記載の方法において、
    LPCVDプロセスは、450〜600℃の温度で実行される方法。
  50. 請求項45記載の方法において、
    LPCVDプロセスは、200〜1,000ミリTorrの圧力で実行される方法。
  51. 請求項33記載の方法において、
    第1の導電層は、50〜200オングストロームの厚さからなる方法。
  52. 請求項33記載の方法において、
    炭素系可逆抵抗スイッチング材料は、ナノ結晶質グラフェン含有アモルファスカーボン、グラフェン、グラファイト、カーボンナノチューブ、アモルファスダイアモンド状カーボン、シリコンカーバイド、およびボロンカーバイドのうちの1つ以上を含む方法。
  53. 請求項33記載の方法において、
    炭素系可逆抵抗スイッチング材料と結合されたステアリング素子を形成するステップをさらに含む方法。
  54. 請求項53記載の方法において、
    ステアリング素子は、p−nまたはp−i−nダイオードを含む方法。
  55. 請求項53記載の方法において、
    ステアリング素子は、多結晶ダイオードを含む方法。
  56. 請求項33記載の方法にしたがって形成されたメモリセル。
  57. メモリセルを形成する方法であって、
    シリサイドを含む第1の導電層を形成するステップと、
    第1の導電層上に炭素系可逆抵抗スイッチング材料を形成するステップであって、第1の導電層と炭素系可逆抵抗スイッチング材料とが同じプロセスチャンバで形成されるステップと、
    炭素系可逆抵抗スイッチング材料上に第2の導電層を形成するステップと、
    を含む方法。
  58. 請求項57記載の方法において、
    プロセスチャンバは、プラズマ強化化学気相成長チャンバ、原子層成長チャンバ、熱化学気相成長チャンバ、および低圧化学気相成長チャンバのいずれかを含む方法。
  59. 請求項57記載の方法において、
    第1の導電層を形成するステップは、
    金属層を形成することと、
    金属シリサイドを形成するための金属層とシリコン含有ガスとを熱反応させることと、
    を含む方法。
  60. 請求項59記載の方法において、
    金属層は、チタン、タンタル、タングステン、および銅のうちの1つ以上を含む方法。
  61. 請求項59記載の方法において、
    金属層は、10〜50オングストロームの厚さからなる方法。
  62. 請求項59記載の方法において、
    シリコン含有ガスは、シランとジシランのうちの1つ以上を含む方法。
  63. 請求項59記載の方法において、
    熱反応させることは、1分あたり200〜500標準立方センチメートルの流量でシリコン含有ガスを使用することを含む方法。
  64. 請求項59記載の方法において、
    熱反応させることは、1分あたり1,000〜10,000標準立方センチメートルの流量で窒素ガスを使用することを含む方法。
  65. 請求項59記載の方法において、
    熱反応させることは、350〜550℃の温度で実行される方法。
  66. 請求項59記載の方法において、
    熱反応させることは、3〜8Torrの圧力で実行される方法。
  67. 請求項59記載の方法において、
    熱反応させることは、10〜120秒で実行される方法。
  68. 請求項57記載の方法において、
    炭素系可逆抵抗スイッチング材料は、ナノ結晶質グラフェン含有アモルファスカーボン、グラフェン、グラファイト、カーボンナノチューブ、アモルファスダイアモンド状カーボン、シリコンカーバイド、およびボロンカーバイドのうちの1つ以上を含む方法。
  69. 請求項57記載の方法において、
    炭素系可逆抵抗スイッチング材料と結合されたステアリング素子を形成するステップをさらに含む方法。
  70. 請求項69記載の方法において、
    ステアリング素子は、p−nまたはp−i−nダイオードを含む方法。
  71. 請求項69記載の方法において、
    ステアリング素子は、多結晶ダイオードを含む方法。
  72. 請求項57記載の方法にしたがって形成されたメモリセル。
  73. メモリセルであって、
    縮退ドープされた半導体材料を含む第1の導電層と、
    第1の導電層上の炭素系可逆抵抗スイッチング材料と、
    炭素系可逆抵抗スイッチング材料上の第2の導電層と、
    を備えるメモリセル。
  74. 請求項73記載のメモリセルにおいて、
    第1の導電層は、シリコン、ゲルマニウム、およびシリコンゲルマニウム合金のうちの1つ以上を含むメモリセル。
  75. 請求項73記載のメモリセルにおいて、
    第1の導電層は、ボロン、アルミニウム、ガリウム、インジウム、タリウム、亜リン酸、ヒ素およびアンチモンのうちの1つ以上を含むメモリセル。
  76. 請求項73記載のメモリセルにおいて、
    第1の導電層は、1018/cm3 〜1023/cm3 のドーピング濃度を有するメモリセル。
  77. 請求項73記載のメモリセルにおいて、
    第1の導電層は、1020/cm3 〜1023/cm3 のドーピング濃度を有するメモリセル。
  78. 請求項73記載のメモリセルにおいて、
    第1の導電層は、プラズマ強化化学気相成長(PECVD)、熱化学気相成長、低圧化学気相成長(LPCVD)、物理気相成長、および原子層成長法のいずれかで形成されるメモリセル。
  79. 請求項73記載のメモリセルにおいて、
    第1の導電層は、シラン、ジシラン、ボロンクロライド、ジボラン、ホスフィン、およびヘリウムガスのうちの1つ以上を使用したPECVDプロセスを使用して形成されるメモリセル。
  80. 請求項79記載のメモリセルにおいて、
    PECVDプロセスは、1分あたり10〜200標準立方センチメートルの流量でシランを使用するメモリセル。
  81. 請求項79記載のメモリセルにおいて、
    PECVDプロセスは、1分あたり10〜200標準立方センチメートルの流量でジボランを使用するメモリセル。
  82. 請求項79記載のメモリセルにおいて、
    PECVDプロセスは、1分あたり10〜200標準立方センチメートルの流量でホスフィンを使用するメモリセル。
  83. 請求項79記載のメモリセルにおいて、
    PECVDプロセスは、450〜600℃の温度で実行されるメモリセル。
  84. 請求項79記載のメモリセルにおいて、
    PECVDプロセスは、3〜8Torrの圧力で実行されるメモリセル。
  85. 請求項73記載のメモリセルにおいて、
    第1の導電層は、シラン、ジシラン、ボロンクロライド、ジボラン、ホスフィン、およびヘリウムガスのうちの1つ以上を使用したLPCVDプロセスを使用して形成されるメモリセル。
  86. 請求項85記載のメモリセルにおいて、
    LPCVDプロセスは、1分あたり125〜375標準立方センチメートルの流量でシランを使用するメモリセル。
  87. 請求項85記載のメモリセルにおいて、
    LPCVDプロセスは、1分あたり20〜80標準立方センチメートルの流量でボロンクロライドを使用するメモリセル。
  88. 請求項85記載のメモリセルにおいて、
    LPCVDプロセスは、1分あたり20〜80標準立方センチメートルの流量でホスフィンを使用するメモリセル。
  89. 請求項85記載のメモリセルにおいて、
    LPCVDプロセスは、450〜600℃の温度で実行されるメモリセル。
  90. 請求項85記載のメモリセルにおいて、
    LPCVDプロセスは、200〜1,000ミリTorrの圧力で実行されるメモリセル。
  91. 請求項73記載のメモリセルにおいて、
    第1の導電層は、50〜200オングストロームの厚さからなるメモリセル。
  92. 請求項73記載のメモリセルにおいて、
    炭素系可逆抵抗スイッチング材料は、ナノ結晶質グラフェン含有アモルファスカーボン、グラフェン、グラファイト、カーボンナノチューブ、アモルファスダイアモンド状カーボン、シリコンカーバイド、およびボロンカーバイドのうちの1つ以上を含むメモリセル。
  93. 請求項73記載のメモリセルにおいて、
    炭素系可逆抵抗スイッチング材料と結合されたステアリング素子を形成することをさらに含むメモリセル。
  94. 請求項93記載のメモリセルにおいて、
    ステアリング素子は、p−nまたはp−i−nダイオードを含むメモリセル。
  95. 請求項93記載のメモリセルにおいて、
    ステアリング素子は、多結晶ダイオードを含むメモリセル。
JP2011533336A 2008-10-23 2009-10-22 低減された層間剥離特性を示す炭素系メモリ素子およびその形成方法 Pending JP2012507150A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10801708P 2008-10-23 2008-10-23
US61/108,017 2008-10-23
PCT/US2009/061687 WO2010048408A2 (en) 2008-10-23 2009-10-22 Carbon-based memory elements exhibiting reduced delamination and methods of forming the same

Publications (2)

Publication Number Publication Date
JP2012507150A true JP2012507150A (ja) 2012-03-22
JP2012507150A5 JP2012507150A5 (ja) 2012-10-25

Family

ID=41611089

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011533336A Pending JP2012507150A (ja) 2008-10-23 2009-10-22 低減された層間剥離特性を示す炭素系メモリ素子およびその形成方法

Country Status (7)

Country Link
US (1) US20100102291A1 (ja)
EP (1) EP2340562A2 (ja)
JP (1) JP2012507150A (ja)
KR (1) KR20110080166A (ja)
CN (1) CN102265400A (ja)
TW (1) TW201027744A (ja)
WO (1) WO2010048408A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012195530A (ja) * 2011-03-18 2012-10-11 Toshiba Corp 不揮発性半導体記憶装置及び不揮発性半導体記憶装置の製造方法
JP2020528493A (ja) * 2017-07-13 2020-09-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体膜を堆積させるための方法

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007212006A (ja) * 2006-02-07 2007-08-23 Nissan Motor Co Ltd 触媒燃焼器の燃焼状態検知装置
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US8569730B2 (en) 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
US20100032639A1 (en) 2008-08-07 2010-02-11 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8421050B2 (en) * 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US8835892B2 (en) 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
US20100108976A1 (en) * 2008-10-30 2010-05-06 Sandisk 3D Llc Electronic devices including carbon-based films, and methods of forming such devices
KR20100052080A (ko) * 2008-11-10 2010-05-19 주식회사 하이닉스반도체 저항성 메모리 소자 및 그 제조 방법
US8183121B2 (en) * 2009-03-31 2012-05-22 Sandisk 3D Llc Carbon-based films, and methods of forming the same, having dielectric filler material and exhibiting reduced thermal resistance
US7978498B2 (en) * 2009-04-03 2011-07-12 Sandisk 3D, Llc Programming non-volatile storage element using current from other element
US8139391B2 (en) 2009-04-03 2012-03-20 Sandisk 3D Llc Multi-bit resistance-switching memory cell
US8270199B2 (en) 2009-04-03 2012-09-18 Sandisk 3D Llc Cross point non-volatile memory cell
US8551855B2 (en) * 2009-10-23 2013-10-08 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8481396B2 (en) * 2009-10-23 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8551850B2 (en) * 2009-12-07 2013-10-08 Sandisk 3D Llc Methods of forming a reversible resistance-switching metal-insulator-metal structure
US8389375B2 (en) * 2010-02-11 2013-03-05 Sandisk 3D Llc Memory cell formed using a recess and methods for forming the same
US8237146B2 (en) * 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
US20110210306A1 (en) * 2010-02-26 2011-09-01 Yubao Li Memory cell that includes a carbon-based memory element and methods of forming the same
US20110278529A1 (en) * 2010-05-14 2011-11-17 Huiwen Xu Memory employing diamond-like carbon resistivity-switchable material and methods of forming the same
US20120043518A1 (en) * 2010-08-18 2012-02-23 Applied Materials, Inc. Variable resistance memory element and fabrication methods
US8431923B2 (en) 2011-02-07 2013-04-30 Micron Technology, Inc. Semiconductor structure and semiconductor device including a diode structure and methods of forming same
US8699259B2 (en) * 2011-03-02 2014-04-15 Sandisk 3D Llc Non-volatile storage system using opposite polarity programming signals for MIM memory cell
CN102157687A (zh) * 2011-03-21 2011-08-17 福州大学 基于石墨烯的可编程非易失性电阻型存储器及其制备方法
FI124354B (fi) * 2011-04-04 2014-07-15 Okmetic Oyj Menetelmä yhden tai useamman polykiteisen piikerroksen pinnoittamiseksi substraatille
US8927957B2 (en) * 2012-08-09 2015-01-06 Macronix International Co., Ltd. Sidewall diode driving device and memory using same
GB2516841A (en) 2013-07-31 2015-02-11 Ibm Resistive memory element based on oxygen-doped amorphous carbon
US9475690B2 (en) * 2014-05-20 2016-10-25 Uchicago Argonne, Llc Low-stress doped ultrananocrystalline diamond
KR102195003B1 (ko) * 2014-06-18 2020-12-24 삼성전자주식회사 반도체 다이오드, 가변 저항 메모리 장치 및 가변 저항 메모리 장치의 제조 방법
TWI605622B (zh) * 2016-04-27 2017-11-11 國立中山大學 電阻式記憶體
US10355206B2 (en) * 2017-02-06 2019-07-16 Nantero, Inc. Sealed resistive change elements
JP6960813B2 (ja) 2017-09-20 2021-11-05 東京エレクトロン株式会社 グラフェン構造体の形成方法および形成装置
US10804464B2 (en) 2017-11-24 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming memory device with diffusion barrier and capping layer
CN110875426B (zh) * 2018-08-29 2023-07-18 中芯国际集成电路制造(上海)有限公司 纳米管随机存储器及其形成方法
CN111286724A (zh) * 2020-02-03 2020-06-16 深圳市拉普拉斯能源技术有限公司 一种基于lpcvd技术的本征硅水平镀膜工艺方法

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0786515A (ja) * 1993-09-16 1995-03-31 Nec Corp ポリシリコン抵抗体の形成方法
JPH07288233A (ja) * 1994-04-18 1995-10-31 Canon Inc 堆積膜形成装置
JPH10247731A (ja) * 1997-03-05 1998-09-14 Hitachi Ltd 半導体ウエハおよびその製造方法ならびに半導体集積回路装置およびその製造方法
JP2000124144A (ja) * 1998-10-21 2000-04-28 Hitachi Ltd 半導体集積回路装置の製造方法、ならびに半導体ウエハおよびその製造方法
WO2004073079A1 (ja) * 2003-02-14 2004-08-26 Fuji Electric Holdings Co., Ltd. スイッチング素子
JP2006013504A (ja) * 2004-06-29 2006-01-12 Internatl Business Mach Corp <Ibm> メモリ・ゲイン・セル、メモリ回路、およびゲイン・セルのための構造を形成する方法(水平メモリ・ゲイン・セル)
JP2006203098A (ja) * 2005-01-24 2006-08-03 Sharp Corp 不揮発性半導体記憶装置
JP2006522483A (ja) * 2003-03-10 2006-09-28 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 有機メモリデバイスのためのスピンオンポリマー
JP2008505499A (ja) * 2004-07-01 2008-02-21 スパンション エルエルシー スイッチャブルメモリダイオード−新メモリデバイス
JP2008510318A (ja) * 2004-08-17 2008-04-03 スパンジョン・リミテッド・ライアビリティ・カンパニー 可変データ保持時間を有するポリマーメモリ
JP2008091854A (ja) * 2006-09-05 2008-04-17 Tokyo Univ Of Agriculture & Technology 半導体メモリ装置およびその製造方法
JP2008153624A (ja) * 2006-10-27 2008-07-03 Qimonda Ag 炭素フィラメントメモリおよびその形成方法
WO2008118486A1 (en) * 2007-03-27 2008-10-02 Sandisk 3D, Llc Memory cell comprising a carbon nanotube fabric element and a steering element and methods of forming the same
JP2009135291A (ja) * 2007-11-30 2009-06-18 Sanyo Electric Co Ltd 半導体メモリ装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
AU2003296988A1 (en) * 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US7767499B2 (en) * 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
JP2007049084A (ja) * 2005-08-12 2007-02-22 Toshiba Corp スイッチ素子、メモリ素子および磁気抵抗効果素子
EP1892722A1 (en) * 2006-08-25 2008-02-27 Infineon Technologies AG Information storage elements and methods of manufacture thereof
US20080116543A1 (en) * 2006-11-17 2008-05-22 Shrinivas Govindarajan Semiconductor devices and methods of manufacture thereof
US7553727B2 (en) * 2006-12-20 2009-06-30 Spansion Llc Using implanted poly-1 to improve charging protection in dual-poly process
US20090166610A1 (en) * 2007-12-31 2009-07-02 April Schricker Memory cell with planarized carbon nanotube layer and methods of forming the same
US8236623B2 (en) * 2007-12-31 2012-08-07 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US8431417B2 (en) * 2008-08-19 2013-04-30 Sandisk 3D Llc Methods for increasing carbon nano-tube (CNT) yield in memory devices

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0786515A (ja) * 1993-09-16 1995-03-31 Nec Corp ポリシリコン抵抗体の形成方法
JPH07288233A (ja) * 1994-04-18 1995-10-31 Canon Inc 堆積膜形成装置
JPH10247731A (ja) * 1997-03-05 1998-09-14 Hitachi Ltd 半導体ウエハおよびその製造方法ならびに半導体集積回路装置およびその製造方法
JP2000124144A (ja) * 1998-10-21 2000-04-28 Hitachi Ltd 半導体集積回路装置の製造方法、ならびに半導体ウエハおよびその製造方法
WO2004073079A1 (ja) * 2003-02-14 2004-08-26 Fuji Electric Holdings Co., Ltd. スイッチング素子
JP2006522483A (ja) * 2003-03-10 2006-09-28 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 有機メモリデバイスのためのスピンオンポリマー
JP2006013504A (ja) * 2004-06-29 2006-01-12 Internatl Business Mach Corp <Ibm> メモリ・ゲイン・セル、メモリ回路、およびゲイン・セルのための構造を形成する方法(水平メモリ・ゲイン・セル)
JP2008505499A (ja) * 2004-07-01 2008-02-21 スパンション エルエルシー スイッチャブルメモリダイオード−新メモリデバイス
JP2008510318A (ja) * 2004-08-17 2008-04-03 スパンジョン・リミテッド・ライアビリティ・カンパニー 可変データ保持時間を有するポリマーメモリ
JP2006203098A (ja) * 2005-01-24 2006-08-03 Sharp Corp 不揮発性半導体記憶装置
JP2008091854A (ja) * 2006-09-05 2008-04-17 Tokyo Univ Of Agriculture & Technology 半導体メモリ装置およびその製造方法
JP2008153624A (ja) * 2006-10-27 2008-07-03 Qimonda Ag 炭素フィラメントメモリおよびその形成方法
WO2008118486A1 (en) * 2007-03-27 2008-10-02 Sandisk 3D, Llc Memory cell comprising a carbon nanotube fabric element and a steering element and methods of forming the same
JP2009135291A (ja) * 2007-11-30 2009-06-18 Sanyo Electric Co Ltd 半導体メモリ装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012195530A (ja) * 2011-03-18 2012-10-11 Toshiba Corp 不揮発性半導体記憶装置及び不揮発性半導体記憶装置の製造方法
JP2020528493A (ja) * 2017-07-13 2020-09-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体膜を堆積させるための方法
JP7414708B2 (ja) 2017-07-13 2024-01-16 アプライド マテリアルズ インコーポレイテッド 半導体膜を堆積させるための方法

Also Published As

Publication number Publication date
EP2340562A2 (en) 2011-07-06
KR20110080166A (ko) 2011-07-12
US20100102291A1 (en) 2010-04-29
WO2010048408A3 (en) 2010-08-19
WO2010048408A2 (en) 2010-04-29
TW201027744A (en) 2010-07-16
CN102265400A (zh) 2011-11-30

Similar Documents

Publication Publication Date Title
JP2012507150A (ja) 低減された層間剥離特性を示す炭素系メモリ素子およびその形成方法
US8466044B2 (en) Memory cell that includes a carbon-based memory element and methods forming the same
US8981347B2 (en) Memory cell that includes a sidewall collar for pillar isolation and methods of forming the same
US8445385B2 (en) Methods for etching carbon nano-tube films for use in non-volatile memories
US8883589B2 (en) Counter doping compensation methods to improve diode performance
KR101494746B1 (ko) 선택적으로 제조된 탄소 나노 튜브 가역 저항 전환 소자를 사용하는 메모리 셀과 이를 형성하는 방법
US8551855B2 (en) Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8467224B2 (en) Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
JP5564035B2 (ja) 炭素系メモリ素子を含むメモリセルおよびその形成方法
JP2011517857A (ja) 選択的に製造されたカーボンナノチューブ可逆抵抗スイッチング素子を使用したメモリセルおよびその形成方法
TW201140805A (en) Memory cell formed using a recess and methods for forming the same
JP2013524551A (ja) 断面積が減じられたカーボンスイッチング材料を有するメモリセルとそのメモリセルを形成する方法
JP2011517122A (ja) 下部導体上に形成され選択的に形成されたカーボンナノチューブの可逆抵抗スイッチング素子を使用したメモリセルおよびその形成方法
US8431417B2 (en) Methods for increasing carbon nano-tube (CNT) yield in memory devices
US20110210306A1 (en) Memory cell that includes a carbon-based memory element and methods of forming the same
US20120223414A1 (en) Methods for increasing bottom electrode performance in carbon-based memory devices

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120906

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120906

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140128

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140701