JP2012129292A - 半導体集積回路装置 - Google Patents

半導体集積回路装置 Download PDF

Info

Publication number
JP2012129292A
JP2012129292A JP2010277993A JP2010277993A JP2012129292A JP 2012129292 A JP2012129292 A JP 2012129292A JP 2010277993 A JP2010277993 A JP 2010277993A JP 2010277993 A JP2010277993 A JP 2010277993A JP 2012129292 A JP2012129292 A JP 2012129292A
Authority
JP
Japan
Prior art keywords
insulating film
gate
region
film
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010277993A
Other languages
English (en)
Other versions
JP5661445B2 (ja
Inventor
Toshiaki Iwamatsu
俊明 岩松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2010277993A priority Critical patent/JP5661445B2/ja
Priority to US13/311,294 priority patent/US8558312B2/en
Priority to TW100145394A priority patent/TWI627734B/zh
Priority to KR1020110133651A priority patent/KR101888687B1/ko
Priority to CN201110416437.XA priority patent/CN102569164B/zh
Publication of JP2012129292A publication Critical patent/JP2012129292A/ja
Application granted granted Critical
Publication of JP5661445B2 publication Critical patent/JP5661445B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1087Substrate region of field-effect devices of field-effect transistors with insulated gate characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】I/O用バルク部とコアロジック用SOI部が混載されたバルク&SOIハイブリッド型CMISデバイスでは、閾値電圧制御の最適化のため多数のゲートスタックを用いる必要があり、プロセス及び構造が複雑になるという問題がある。
【解決手段】本願発明は、High−kゲート絶縁膜およびメタルゲート電極を有するSOI型半導体CMISFET集積回路装置において、いずれかのバックゲート半導体領域に不純物を導入することにより、対応する部分のMISFETの閾値電圧を調整するものである。
【選択図】図2

Description

本発明は、半導体集積回路装置(または半導体装置)における閾値電圧調整技術に適用して有効な技術に関する。
日本特開2009−135140号公報(特許文献1)または、これに対応する米国特許公開2009−134468号公報(特許文献2)には、SOI(Silicon On Insulator)領域およびバルク領域を有するハイブリッド構造のCMOS(Complementary Metal Oxide Semiconductor)またはCMIS(Complementary Metal Insulator Semiconductor)半導体集積回路において、ゲート電極材料をミッドギャップ(Midgap)に対応する仕事関数を有する一種とし、SOI部のMISFET(Metal Insulator Semiconductor Field Effect Transistor)のバックゲート領域に閾値電圧を調整するための不純物領域を設ける技術が開示されている。
特開2009−135140号公報 米国特許公開2009−134468号公報
I/O用バルク部とコアロジック用SOI部が混載されたバルク&SOIハイブリッド型CMISデバイスでは、閾値電圧制御の最適化のため多種類のゲートスタックを用いる必要があり、プロセス及び構造が複雑になるという問題がある。
また、SOI部のみを有するシングルタイプのCMISデバイスにおいても、NチャネルMISFETとPチャネルMISFETのゲートスタック構造が複雑になるという問題がある。
本願発明は、これらの課題を解決するためになされたものである。
本発明の目的は、信頼性の高い半導体集積回路装置の製造プロセスを提供することにある。
本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。
本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。
すなわち、本願の一つの発明は、High−kゲート絶縁膜およびメタルゲート電極を有するSOI型半導体CMISFET集積回路装置において、いずれかのバックゲート半導体領域に不純物を導入することにより、対応する部分のMISFETの閾値電圧を調整するものである。
本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。
すなわち、High−kゲート絶縁膜およびメタルゲート電極を有するSOI型半導体CMISFET集積回路装置において、いずれかのバックゲート半導体領域に不純物を導入することにより、対応する部分のMISFETの閾値電圧を調整することができるので、ゲートスタック構造の簡略化が可能となる。
本願の各実施の形態の半導体集積回路装置に共通するデバイスチップ等のレイアウトの一例を示すウエハとそのチップ領域の上面図である。 本願の各実施の形態の半導体集積回路装置に共通するSOI領域のCMISチップ構造1(基板&バックゲートウエル共通電位構造)におけるSOI領域の断面構造の一例を示す模式断面構造図である。 本願の各実施の形態の半導体集積回路装置に共通するCMISチップ構造1(基板&バックゲートウエル共通電位構造)におけるバルク領域の断面構造の一例を示す模式断面構造図である。 本願の各実施の形態の半導体集積回路装置に共通するSOI領域のCMISチップ構造2(P型MISFETバックゲート不純物ドープ半導体領域がN型ウエルのときの基板&両チャネル側バックゲートウエル独立電位構造)におけるSOI領域の断面構造の一例を示す模式断面構造図である。 本願の各実施の形態の半導体集積回路装置に共通するCMISチップ構造2(基板&Nチャネル側バックゲートウエル独立電位構造)におけるバルク領域の断面構造の一例を示す模式断面構造図である。 本願の実施の形態1の半導体集積回路装置におけるゲートスタック構造1(SOI共通ゲート)を示すゲートスタック及び基板の模式断面図である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(SOI領域バックゲートウエル導入工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(バルク領域Nウエル導入工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(バルク領域Pウエル導入工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(バルク領域SOI層およびBOX酸化膜除去工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(ゲートライナー酸化工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(ランタン膜形成工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(アルミニウム膜形成工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(上層窒化チタン膜等除去工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(下層窒化チタン膜等除去工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(残存ランタン膜およびアルミニウム膜除去工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(電極窒化チタン膜成膜工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(電極ポリシリコン膜成膜工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(ゲート電極加工工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(オフセットスペーサ形成工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(サイドウォールスペーサ形成工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(エレベイテッドSD形成工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(高濃度SD導入工程)である。 本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(プリメタル絶縁膜等形成工程)である。 本願の実施の形態2の半導体集積回路装置におけるゲートスタック構造2(Pチャネルノンドープゲート)を示すゲートスタック及び基板の模式断面図である。 本願の実施の形態3の半導体集積回路装置におけるゲートスタック構造3(バルクNon−High−kゲート)を示すゲートスタック及び基板の模式断面図である。 本願の実施の形態4の半導体集積回路装置におけるゲートスタック構造4(異種ドープゲート)を示すゲートスタック及び基板の模式断面図である。 本願の実施の形態5の半導体集積回路装置におけるゲートスタック構造5(SOIノンドープゲート)を示すゲートスタック及び基板の模式断面図である。 図4の変形例であってSOI領域のPチャネルMISFET領域のバックゲートウエルをP型とする場合のSOI領域の断面構造の一例を示す模式断面構造図(P型MISFETバックゲート不純物ドープ半導体領域がP型ウエルのときの基板&両チャネル側バックゲートウエル独立電位構造)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ダミーゲート材料堆積工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ダミーゲートパターニングおよびエクステンション領域導入工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(サイドウォール、エレベイテッドソースドレイン形成、およびソースドレイン不純物導入工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(プリメタル絶縁膜堆積工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(CMP工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ダミーポリシリコン除去工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ランタン膜堆積工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(メタルゲート膜埋め込み工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(メタルゲート膜エッチバック工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ランタン膜エッチバック工程)である。 ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(配線形成工程)である。 ゲートスタック構造の変形例2(全共通無添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(配線形成工程)である。 本願の実施の形態の半導体集積回路装置に対する製造プロセスの変形例であるゲートラスト方式に適合したゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)を示すゲートスタック及び基板の模式断面図である。 本願の実施の形態の半導体集積回路装置に対する製造プロセスの変形例であるゲートラスト方式に適合したゲートスタック構造の変形例2(全共通無添加ゲート絶縁膜)を示すゲートスタック及び基板の模式断面図である。
〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
1.以下を含む半導体集積回路装置:
(a)第1の主面及び第2の主面を有する半導体チップ;
(b)前記半導体チップの前記第1の主面に設けられたSOI領域;
(c)前記SOI領域内に設けられた第1のNチャネルMISFET領域および第1のPチャネルMISFET領域;
(d)前記半導体チップの前記第1の主面側であって、前記第1のNチャネルMISFET領域内に設けられ、High−k絶縁膜を有する第1のゲート絶縁膜、および、メタル層を有する第1のゲート電極膜;
(e)前記半導体チップの前記第1の主面側であって、前記第1のPチャネルMISFET領域内に設けられ、High−k絶縁膜を有する第2のゲート絶縁膜、および、メタル層を有する第2のゲート電極膜;
(f)前記半導体チップの内部であって、前記第1のNチャネルMISFET領域に設けられたN型MISFETバックゲート不純物ドープ半導体領域;
(g)前記半導体チップの内部であって、前記第1のPチャネルMISFET領域に設けられたP型MISFETバックゲート不純物ドープ半導体領域、
ここで、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、基準電位および電源電位以外の電位にされている。
2.前記1項の半導体集積回路装置において、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、基準電位と電源電位の間の電位にされている。
3.前記1または2項の半導体集積回路装置において、更に以下を含む:
(h)前記半導体チップの前記第1の主面に設けられたバルク領域;
(i)前記バルク領域内に設けられた第2のNチャネルMISFET領域および第2のPチャネルMISFET領域;
(j)前記半導体チップの前記第1の主面側であって、前記第2のNチャネルMISFET領域内に設けられた第3のゲート絶縁膜、および、メタル層を有する第3のゲート電極膜;
(k)前記半導体チップの前記第1の主面側であって、前記第2のPチャネルMISFET領域内に設けられた第4のゲート絶縁膜、および、メタル層を有する第4のゲート電極膜。
4.前記3項の半導体集積回路装置において、前記第1のゲート絶縁膜、前記第2のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
5.前記3項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第2のゲート絶縁膜、および前記第4のゲート絶縁膜はノンドープのHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
6.前記3項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第2のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第3のゲート絶縁膜、および前記第4のゲート絶縁膜はHigh−k絶縁膜を有さず、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
7.前記3項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第2のゲート絶縁膜、および前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
8.前記7項の半導体集積回路装置において、前記第1のゲート絶縁膜および前記第2のゲート絶縁膜はノンドープのHigh−k絶縁膜を有し、前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
9.以下を含む半導体集積回路装置:
(a)第1の主面及び第2の主面を有する半導体チップ;
(b)前記半導体チップの前記第1の主面に設けられたSOI領域;
(c)前記SOI領域内に設けられた第1のNチャネルMISFETを有する第1のNチャネルMISFET領域、および第1のPチャネルMISFETを有する第1のPチャネルMISFET領域;
(d)前記半導体チップの前記第1の主面側であって、前記第1のNチャネルMISFET領域内に設けられ、High−k絶縁膜を有する第1のゲート絶縁膜、および、メタル層を有する第1のゲート電極膜;
(e)前記半導体チップの前記第1の主面側であって、前記第1のPチャネルMISFET領域内に設けられ、High−k絶縁膜を有する第2のゲート絶縁膜、および、メタル層を有する第2のゲート電極膜;
(f)前記半導体チップの内部であって、前記第1のNチャネルMISFET領域に設けられたN型MISFETバックゲート不純物ドープ半導体領域;
(g)前記半導体チップの内部であって、前記第1のPチャネルMISFET領域に設けられたP型MISFETバックゲート不純物ドープ半導体領域、
ここで、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、前記第1のNチャネルMISFETまたは前記第1のPチャネルMISFETに対する閾値電圧調整領域である。
10.前記9項の半導体集積回路装置において、更に以下を含む:
(h)前記半導体チップの前記第1の主面に設けられたバルク領域;
(i)前記バルク領域内に設けられた第2のNチャネルMISFET領域および第2のPチャネルMISFET領域;
(j)前記半導体チップの前記第1の主面側であって、前記第2のNチャネルMISFET領域内に設けられた第3のゲート絶縁膜、および、メタル層を有する第3のゲート電極膜;
(k)前記半導体チップの前記第1の主面側であって、前記第2のPチャネルMISFET領域内に設けられた第4のゲート絶縁膜、および、メタル層を有する第4のゲート電極膜。
11.前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜、前記第2のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
12.前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第2のゲート絶縁膜、および前記第4のゲート絶縁膜はノンドープのHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
13.前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第2のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第3のゲート絶縁膜、および前記第4のゲート絶縁膜はHigh−k絶縁膜を有さず、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
14.前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第2のゲート絶縁膜、および前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
15.前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜および前記第2のゲート絶縁膜はノンドープのHigh−k絶縁膜を有し、前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
16.前記9から15項のいずれか一つの半導体集積回路装置において、前記閾値電圧の調整は、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域の不純物濃度または不純物の導電型を変更することによって実行される。
17.前記9から16項のいずれか一つの半導体集積回路装置において、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、基準電位および電源電位以外の電位にされている。
18.前記9から17項のいずれか一つの半導体集積回路装置において、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、基準電位と電源電位の間の電位にされている。
19.前記1から18項のいずれか一つの半導体集積回路装置において、前記半導体集積回路装置は、ゲートファースト方式によるものである。
20.前記1から18項のいずれか一つの半導体集積回路装置において、前記半導体集積回路装置は、ゲートラスト方式によるものである。
〔本願における記載形式、基本的用語、用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数のセクションに分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
更に、本願において、「半導体装置」または「半導体集積回路装置」というときは、主に、各種トランジスタ(能動素子)単体、および、それらを中心に、抵抗、コンデンサ等を半導体チップ等(たとえば単結晶シリコン基板)上に集積したものをいう。ここで、各種トランジスタの代表的なものとしては、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)に代表されるMISFET(Metal Insulator Semiconductor Field Effect Transistor)を例示することができる。このとき、集積回路構成の代表的なものとしては、Nチャネル型MISFETとPチャネル型MISFETを組み合わせたCMOS(Complemetary Metal Oxide Semiconductor)型集積回路に代表されるCMIS(Complemetary Metal Insulator Semiconductor)型集積回路を例示することができる。
今日の半導体集積回路装置、すなわち、LSI(Large Scale Integration)のウエハ工程は、通常、原材料としてのシリコンウエハの搬入からプリメタル(Premetal)工程(M1配線層下端とゲート電極構造の間の層間絶縁膜等の形成、コンタクトホール形成、タングステンプラグ、埋め込み等からなる工程)あたりまでのFEOL(Front End of Line)工程と、M1配線層形成から始まり、アルミニウム系パッド電極上のファイナルパッシベーション膜へのパッド開口の形成あたりまで(ウエハレベルパッケージプロセスにおいては、当該プロセスも含む)のBEOL(Back End of Line)工程に大別できる。
2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかに、そうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」、「酸化シリコン系絶縁膜」等と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon Dioxide)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノクラスタリングシリカ(Nano-Clustering Silica:NCS)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。
また、酸化シリコン系絶縁膜と並んで、半導体分野で常用されているシリコン系絶縁膜としては、窒化シリコン系絶縁膜がある。この系統の属する材料としては、SiN,SiCN,SiNH,SiCNH等がある。ここで、「窒化シリコン」というときは、特にそうでない旨明示したときを除き、SiNおよびSiNHの両方を含む。同様に、「SiCN」というときは、特にそうでない旨明示したときを除き、SiCNおよびSiCNHの両方を含む。
なお、SiCは、SiNと類似の性質を有するが、SiONは、むしろ、酸化シリコン系絶縁膜に分類すべき場合が多い。
窒化シリコン膜は、SAC(Self−Aligned Contact)技術におけるエッチストップ膜として、多用されるほか、SMT(Stress Memorization Technique)における応力付与膜としても使用される。
同様に、「ニッケルシリサイド」というときは、通常、ニッケルモノシリサイドを指すが、比較的純粋なものばかりではなく、ニッケルモノシリサイドを主要な構成要素とする合金、混晶等を含む。また、シリサイドは、ニッケルシリサイドに限らず、従来から実績のあるコバルトシリサイド、チタンシリサイド、タングステンシリサイド等でもよい。また、シリサイド化のための金属膜としては、Ni(ニッケル)膜以外にも、例えばNi−Pt合金膜(NiとPtの合金膜)、Ni−V合金膜(NiとVの合金膜)、Ni−Pd合金膜(NiとPdの合金膜)、Ni−Yb合金膜(NiとYbの合金膜)またはNi−Er合金膜(NiとErの合金膜)のようなニッケル合金膜などを用いることができる。なお、これらのニッケルを主要な金属元素とするシリサイドを「ニッケル系のシリサイド」と総称する。
3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。
4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。
5.「ウエハ」というときは、通常は半導体集積回路装置(半導体装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、SOI基板、LCDガラス基板等の絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。
6.本願において、電位に関して「AとBの間の電位V」というときは、Vは両端の電位を含まないものとする。これに対して、「AからBの電位V」というときは、Vは両端の電位を含むものとする。なお、以下の例では、主に電位Vが固定電位である例を具体的に説明するが、必要に応じて変動電位でも良い。変動電位の場合は、一時的に「AとBの間の電位V」であれば良い。
7.本願において、CMIS型集積回路の製造方式の分類において、「ゲートラスト方式」とは、ソースドレインの高温熱処理後にポリシリコンダミーゲート電極を除去する方式を言い、それ以外の方式を「ゲートファースト方式」という。
〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
また、添付図面においては、却って、煩雑になる場合または空隙との区別が明確である場合には、断面であってもハッチング等を省略する場合がある。これに関連して、説明等から明らかである場合等には、平面的に閉じた孔であっても、背景の輪郭線を省略する場合がある。更に、断面でなくとも、空隙でないことを明示するために、ハッチングを付すことがある。
1.本願の各実施の形態の半導体集積回路装置に共通するデバイスチップ等のレイアウトの一例の説明(主に図1)
なお、以下では本願の対象デバイスの一例として、SOCチップを例に取り具体的に説明するが、メモリ専用チップであっても良いことはいうまでもない。なお、以下の例では、主に、45nmテクノロジノードの世代の製品を例にとり、具体的に説明するが、その他の世代にも適用できることは言うまでもない。
また、以下では主に、バルク領域7とSOI領域8の両方を有するチップについて具体的に説明するが、SOI領域8のみを有するチップでもよいことはいうまでもない。
図1は本願の各実施の形態の半導体集積回路装置に共通するデバイスチップ等のレイアウトの一例を示すウエハとそのチップ領域の上面図である。これに基づいて、本願の各実施の形態の半導体集積回路装置に共通するデバイスチップ等のレイアウトの一例を説明する。
図1に示すように、ウエハ工程途中のウエハ1(ここでは、300φシリコン単結晶ウエハを例に取り説明するが、直径は450φでも200φでも良い)のデバイス主面1a(第1の主面)には、多数のチップ領域2が形成されている。また、ウエハ1には、その配向を判別するためのノッチ3が設けられている。
次に、各チップ2(チップ領域)のレイアウトの詳細を説明する。チップ領域2の周辺部には、多数のボンディングパッド4が設けられており、内部領域にはバルク領域7(バルク周辺回路領域)およびSOI領域8が設けられている。SOI領域8は、SOIメモリ領域5およびSOIロジック領域6を含む。ここで、SOIメモリ領域5としては、SRAM(Static Random Access Memory)が想定されるが、これに限らず、DRAM(Dynamic Random Access Memory)でもフラッシュメモリでもよい。
2.本願の各実施の形態の半導体集積回路装置に共通するCMISチップ構造1(基板&バックゲートウエル共通電位構造)の説明(主に図2および3)
このセクションでは、セクション1で説明した半導体チップのバルク領域7およびSOI領域8における電源構造等の電位構造(いわゆる拡散構造を含む)の一例を説明する。なお、以下では図面の大きさの制限から、バルク領域7およびSOI領域8(図1)を別々の図に描くが、これらは同一の半導体チップ上に形成されていることは言うまでもない。なお、このような複合構造のチップをハイブリッド型SOIチップまたはハイブリッド型SOIデバイスというが、本願は主にハイブリッド型SOIチップについて説明するが、SOI領域8のみを有するデバイスであってもよいことはいうまでもない。
また、以下の図2から図5および図29においては、CMIS回路の例として、インバータを例にとり具体的に説明するが、それに限定されるものではないことは言うまでもない。
図2は本願の各実施の形態の半導体集積回路装置に共通するSOI領域のCMISチップ構造1(基板&バックゲートウエル共通電位構造)におけるSOI領域の断面構造の一例を示す模式断面構造図である。図3は本願の各実施の形態の半導体集積回路装置に共通するCMISチップ構造1(基板&バックゲートウエル共通電位構造)におけるバルク領域の断面構造の一例を示す模式断面構造図である。これらに基づいて、本願の各実施の形態の半導体集積回路装置に共通するCMISチップ構造1(基板&バックゲートウエル共通電位構造)を説明する。
まず、SOI領域8を説明する。図2に示すように、各実施の形態のデバイスチップ2は、たとえば、P型単結晶シリコン基板1s上に形成されており、チップ2の裏面1b側が、基板部1sとなっている。SOI領域8のデバイス主面1a(第1の主面)は、STI(Shallow Trench Isolation)等の素子分離領域18によって、NチャネルMISFET領域8n(すなわち第1のNチャネルMISFET領域)、PチャネルMISFET領域8p(すなわち第1のPチャネルMISFET領域)等が区画されており、NチャネルMISFET領域8nのデバイス主面1a表面領域には、SOI−N型MISFET(Qsn)アクティブ領域Asnが、PチャネルMISFET領域8pのデバイス主面1a表面領域には、SOI−P型MISFET(Qsp)のアクティブ領域Aspが、それぞれ設けられている。アクティブ領域Asn内のP型SOI層9pには、N型SOI部ソースドレイン領域29sn(高濃度領域及びエクステンション領域を含む)が設けられており、アクティブ領域AspのN型SOI層9nには、P型SOI部ソースドレイン領域29sp(高濃度領域及びエクステンション領域を含む)が設けられている。アクティブ領域Asnのデバイス主面1a上には、ゲート絶縁膜35sn(すなわち第1のゲート絶縁膜)を介して、ゲート電極36sn(すなわち第1のゲート電極膜)が設けられており、アクティブ領域Aspのデバイス主面1a上には、ゲート絶縁膜35sp(すなわち第2のゲート絶縁膜)を介して、ゲート電極36sp(すなわち第2のゲート電極膜)が設けられている。アクティブ領域Asn(P型SOI層9p)の下方には、BOX酸化膜10(BOX絶縁膜)すなわちバックゲート絶縁膜を介して、N型MISFETバックゲート不純物ドープ半導体領域11sp(バックゲート又はバックゲートウエルすなわち閾値電圧調整領域)が設けられており、アクティブ領域Asp(N型SOI層9n)の下方には、BOX酸化膜10(BOX絶縁膜)すなわちバックゲート絶縁膜を介して、P型MISFETバックゲート不純物ドープ半導体領域11sn(バックゲート又はバックゲートウエルすなわち閾値電圧調整領域)が設けられている。N型MISFETバックゲート不純物ドープ半導体領域11spは、P型ディープウエル領域33pおよびP型コンタクト領域34pを介して、基準電位Vssに接続されており、P型MISFETバックゲート不純物ドープ半導体領域11snは、N型またはP型ディープウエル領域33nおよびN型コンタクト領域34nを介して、SOI領域8の電源電位Vdd(たとえば、3ボルト系電源)に接続されている。SOI−N型MISFET(Qsn)およびSOI−P型MISFET(Qsp)の各ドレインは、出力部または出力端子Voutに接続されており、SOI−N型MISFET(Qsn)およびSOI−P型MISFET(Qsp)の各ゲート電極36sn、36spは、入力部又は入力端子Vinに接続されている。一方、SOI−N型MISFET(Qsn)のソースは、基準電位Vssに接続されており、SOI−P型MISFET(Qsp)のソースは、SOI領域8の電源電位Vddに接続されている。
次に、バルク領域7を説明する。図3に示すように、バルク領域7のデバイス面1aは、SOI領域8と同様に、素子分離領域18によって、NチャネルMISFET領域7n(すなわち第2のNチャネルMISFET領域)、PチャネルMISFET領域7p(すなわち第2のPチャネルMISFET領域)等が区画されており、NチャネルMISFET領域7nのデバイス主面1a内には、P型ウエル11bpが設けられており、PチャネルMISFET領域7pのデバイス主面1a内には、N型ウエル11bnが設けられている。P型ウエル11bpのデバイス面1aの表面領域(すなわち、アクティブ領域Abn)には、バルクN型MISFET(Qbn)のN型ソースドレイン領域29bn(高濃度領域及びエクステンション領域を含む)が設けられており、N型ウエル11bnのデバイス面1aの表面領域(すなわち、アクティブ領域Abp)には、バルクP型MISFET(Qbp)のP型ソースドレイン領域29bp(高濃度領域及びエクステンション領域を含む)が設けられている。これらのうち、バルクN型MISFET(Qbn)のソースは、P型コンタクト領域34pとともに、基準電位Vssに接続されており、ドレインは、出力部または出力端子Voutに接続されている。また、バルクP型MISFET(Qbp)のソースは、N型コンタクト領域34nとともに、バルク領域7の電源電位Vcc(たとえば、1ボルト系電源)に接続されており、ドレインは、出力部または出力端子Voutに接続されている。アクティブ領域Abnの表面上には、ゲート絶縁膜37bn(すなわち第3のゲート絶縁膜)を介して、ゲート電極38bn(すなわち第3のゲート電極膜)が設けられており、アクティブ領域Abpの表面上には、ゲート絶縁膜37bp(すなわち第4のゲート絶縁膜)を介して、ゲート電極38bp(すなわち第4のゲート電極膜)が設けられている。これらのゲート電極38bn、38bpは、入力部又は入力端子Vinに接続されている。
このような電源供給構造においては、通常、バルク領域7およびSOI領域8の両方において、Nチャンネル側のウエル電位等(バルク周辺回路領域のP型ウエル11bp又は基板1s、N型MISFETバックゲート不純物ドープ半導体領域11sp)は、基準電位Vssとなっている。一方、Pチャンネル側のウエル電位(バルク周辺回路領域のP型ウエル11bp、P型MISFETバックゲート不純物ドープ半導体領域11sn)は、バルク領域7では、電源電位Vccに、SOI領域8では、電源電位Vddになっている。従って、この場合の各MISFETの閾値電圧の制御は、各ゲートスタック(バルク領域7とSOI領域8)、チャネル領域への不純物導入(バルク領域7とSOI領域8)、バックゲート(P型MISFETバックゲート不純物ドープ半導体領域11sn、N型MISFETバックゲート不純物ドープ半導体領域11sp)への不純物導入量と導電型(SOI領域8)等により行われる。
3.本願の各実施の形態の半導体集積回路装置に共通するCMISチップ構造2(基板&Nチャネル側バックゲートウエル独立電位構造)の説明(主に図4、図5および図29)
このセクションで説明する例は、セクション2の変形例であり、図4は図2の変形例であり、図5は図3の変形例である(図4と図3の組み合わせも可能である)。図29は図4の更なる変形例(バルク部分は、図5でも図3でも良い)である。なお、以下の例では、基本的構成は、図2及び図3に示したものと同一であるから、異なる部分のみを説明する。
図4は本願の各実施の形態の半導体集積回路装置に共通するSOI領域のCMISチップ構造2(P型MISFETバックゲート不純物ドープ半導体領域がN型ウエルのときの基板&両チャネル側バックゲートウエル独立電位構造)におけるSOI領域の断面構造の一例を示す模式断面構造図である。図5は本願の各実施の形態の半導体集積回路装置に共通するCMISチップ構造2(基板&Nチャネル側バックゲートウエル独立電位構造)におけるバルク領域の断面構造の一例を示す模式断面構造図である。図29は図4の変形例であってSOI領域のPチャネルMISFET領域のバックゲートウエルをP型とする場合のSOI領域の断面構造の一例を示す模式断面構造図(P型MISFETバックゲート不純物ドープ半導体領域がP型ウエルのときの基板&両チャネル側バックゲートウエル独立電位構造)である。これらに基づいて、本願の各実施の形態の半導体集積回路装置に共通するCMISチップ構造2(基板&Nチャネル側バックゲートウエル独立電位構造)等を説明する。
(1)図4及び図5に示す例(PチャネルバックウエルN型独立電位):
図4は図2と比較すると、SOI領域8のNチャネルMISFET領域8nのN型バックウエル11sp(バックゲート)が電源電位Vddに吊られた3重N型ウエル領域39で囲まれており(また、N型ディープウエル領域33nと3重N型ウエル領域39が分離している)、バックゲート11spには、基準電位Vssから電源電位Vddまでの電位をNチャネルバックゲートバイアスVnbとして印加することができる。一方、それとは独立に、バックゲート11snには、基準電位Vssから電源電位Vddまでの電位をPチャネルバックゲートバイアスVpbとして印加することができる。
このような電源供給構造においては、図2及び図3の場合と異なり、SOI領域8においては、Nチャンネル側のウエル電位等(N型MISFETバックゲート不純物ドープ半導体領域11sp)を、基準電位Vssと電源電位Vddの間のNチャネルバックゲートバイアスVnbとすることができ、また、Pチャンネル側のウエル電位等(P型MISFETバックゲート不純物ドープ半導体領域11sn)をNチャネルバックゲートバイアスVnbと独立な基準電位Vssと電源電位Vddの間のPチャネルバックゲートバイアスVpbとすることができる。すなわち、バックゲートに順方向バイアスを印加して、閾値電圧の絶対値を下げることが可能となる。従って、この場合の各MISFETの閾値電圧の制御は、各ゲートスタック(バルク領域7とSOI領域8)、チャネル領域への不純物導入(バルク領域7とSOI領域8)、バックゲート(P型MISFETバックゲート不純物ドープ半導体領域11sn、N型MISFETバックゲート不純物ドープ半導体領域11sp)への不純物導入量と導電型(SOI領域8)、バックゲートへの順方向バイアスの印加(SOI領域8)等により行われる。
(2)図29に示す例(PチャネルバックウエルP型独立電位):
図29は、図4と類似しているが、P型MISFETバックゲート不純物ドープ半導体領域11snおよびPチャネルデバイス領域8pのディープウエル領域33nが、ともにP型ウエルであり、且つ、それらが3重N型ウエル領域39で囲まれるとともに、相互に分離されている。この構造においても、(1)と同様に、バックゲート11spには、基準電位Vssから電源電位Vddまでの電位をNチャネルバックゲートバイアスVnbとして印加することができる。一方、それとは独立に、バックゲート11snには、基準電位Vssから電源電位Vddまでの電位をPチャネルバックゲートバイアスVpbとして印加することができる。
このような電源供給構造においては、図4及び図5の場合と同様に、SOI領域8においては、Nチャンネル側のウエル電位等(N型MISFETバックゲート不純物ドープ半導体領域11sp)を、基準電位Vssと電源電位Vddの間のNチャネルバックゲートバイアスVnbとすることができ、また、Pチャンネル側のウエル電位等(P型MISFETバックゲート不純物ドープ半導体領域11sn)をNチャネルバックゲートバイアスVnbと独立な基準電位Vssと電源電位Vddの間のPチャネルバックゲートバイアスVpbとすることができる。すなわち、バックゲートに順方向バイアスを印加して、閾値電圧の絶対値を下げることが可能となる。従って、この場合の各MISFETの閾値電圧の制御は、各ゲートスタック(バルク領域7とSOI領域8)、チャネル領域への不純物導入(バルク領域7とSOI領域8)、バックゲート(P型MISFETバックゲート不純物ドープ半導体領域11sn、N型MISFETバックゲート不純物ドープ半導体領域11sp)への不純物導入量と導電型(SOI領域8)、バックゲートへの順方向バイアスの印加(SOI領域8)等により行われる。
4.本願の実施の形態1の半導体集積回路装置におけるゲートスタック構造1(SOI共通ゲート)の説明(主に図6)
図6から図28までにおいては、半導体基板内の構造を図2から図5及び図29と比較して、簡素化して示す。これは、半導体基板内の構造については、図2から図5及び図29に示したような多数のバリエーションがありうるからである。
図6は本願の実施の形態1の半導体集積回路装置におけるゲートスタック構造1(SOI共通ゲート)を示すゲートスタック及び基板の模式断面図である。これに基づいて、本願の実施の形態1の半導体集積回路装置におけるゲートスタック構造1(SOI共通ゲート)を説明する。
図6に示すように、SOI領域8のNチャネルMISFETゲートスタック17snとPチャネルMISFETゲートスタック17spが同じで、下からSOI領域ライナーゲート絶縁膜12ss、ランタンドープ酸化ハフニウム系High−kゲート絶縁膜14hl(High−kゲート絶縁膜14)、ゲート窒化チタン膜15、ゲートポリシリコン膜16等から構成されており、バルクNチャネルMISFETゲートスタック17bnは、バルク領域ライナーゲート絶縁膜12bsの厚さ等が異なるのみで、その他は、これらと同一である(積層構造としては同一、すなわち同一積層構造)。一方、バルクPチャネルMISFETゲートスタック17bpは、バルクNチャネルMISFETゲートスタック17bnとほぼ同じであるが、High−kゲート絶縁膜14がアルミニウムドープ酸化ハフニウム系High−kゲート絶縁膜14haに変わっている。
ここで、SOI領域8のPチャネルMISFETの閾値電圧の絶対値は、ランタンのドープの影響で上昇するが、バックゲート(P型MISFETバックゲート不純物ドープ半導体領域11sn)への不純物の導入量の調整(P型不純物のドーズ量の増加、または、N型不純物のドーズ量の減少により閾値電圧の絶対値は降下する)、順方向バイアスの印加、チャネル領域への不純物の導入量の調整、または、これらの組み合わせ等により適正な値に下げることができる。
なお、目標とする各ゲートスタック(ゲート電極)の有効仕事関数(Effective Work Function)の範囲を例示するとすれば以下のごとくである。すなわち、バルクNチャネルMISFETゲートスタック17bn:4.2から4.6eV程度、バルクPチャネルMISFETゲートスタック17bp:4.7から5.0eV程度、SOI領域のNチャネルMISFETゲートスタック17sn:4.2から4.6eV程度、SOI領域のPチャネルMISFETゲートスタック17sp:4.7から5.0eV程度である。
このゲートスタック構造の組み合わせにおいては、以下のメリットを有する。
(1)SOI領域8におけるゲートスタック構造が共通しているので、複雑な加工の繰り返しを回避できるほか、同様の理由で、ゲートスタック構造の下層部に対するダメージを低減することができる(このメリットは、SOI領域のみのチップにおいても有効である)。
(2)バルク領域7およびSOI領域8において、酸化シリコン系ライナーゲート絶縁膜12bs、12ssの厚さを除き、全領域において、2種類のゲートスタック構造でMISFETが構成できるので、プロセスを大幅に関すかすることが可能である。
なお、ここで示したような閾値電圧調整膜の配置(バルク領域7のPチャネルMISFETゲートスタック17sp以外の全てのゲートスタックをランタンドープとする)とする代わりに、SOI領域のNチャネルMISFETゲートスタック17snおよびSOI領域のPチャネルMISFETゲートスタック17spのHigh−kゲート絶縁膜にアルミニウム系閾値電圧調整膜(アルミニウム含有仕事関数変調膜)を適用しても良い。その場合は、PチャネルMISFET(Qsp)の閾値電圧の制御が容易となる。
5.本願の実施の形態1の半導体集積回路装置における製造プロセスの主要部の説明(主に図7から図24)
以下の例では、図示上の煩雑さを避けるため、図2、図4及び図29で説明したディープウエルや3重ウエル等の表示及びそれに関する説明を省略する。ここで説明するSOIデバイスは、いわゆるFD−SOI(Fully Depleted SOI)デバイスである。
図7は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(SOI領域バックゲートウエル導入工程)である。図8は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(バルク領域Nウエル導入工程)である。図9は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(バルク領域Pウエル導入工程)である。図10は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(バルク領域SOI層およびBOX酸化膜除去工程)である。図11は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(ゲートライナー酸化工程)である。図12は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(ランタン膜形成工程)である。図13は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(アルミニウム膜形成工程)である。図14は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(上層窒化チタン膜等除去工程)である。図15は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(下層窒化チタン膜等除去工程)である。図16は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(残存ランタン膜およびアルミニウム膜除去工程)である。図17は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(電極窒化チタン膜成膜工程)である。図18は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(電極ポリシリコン膜成膜工程)である。図19は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(ゲート電極加工工程)である。図20は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(オフセットスペーサ形成工程)である。図21は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(サイドウォールスペーサ形成工程)である。図22は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(エレベイテッドSD形成工程)である。図23は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(高濃度SD導入工程)である。図24は本願の実施の形態1の半導体集積回路装置の製造プロセスの主要部等を説明するためのウエハ部分断面図(プリメタル絶縁膜等形成工程)である。これらに基づいて、本願の実施の形態1の半導体集積回路装置における製造プロセスの主要部を説明する。
まず、(図7参照)SOI層9およびBOX酸化膜10のそれぞれの厚さが、10nm程度(好適な範囲としては、数nm程度から20nm程度)のP型SOIウエハ1、すなわち、P型単結晶シリコンウエハ(P型基板部1s)のデバイス面1a側(裏面1bの反対側の主面)のほぼ全面にSOI層9およびBOX酸化膜10を形成したものを準備する。ウエハ1の直径は、ここでは、たとえば300φを想定するが、必要に応じて、450φでも200φ、あるいは、それ以外でも良い。P型基板部1sおよびSOI層9の抵抗率は、たとえば、1から10Ωcm程度を好適なものとして例示することができる。また、ウエハ1の面方位は、たとえば、(100)とすることができるが、それ以外の方位でも良い。
次に、図7に示すように、ウエハ1のデバイス面1a側にSTI(Shallow Trench Isolation)等の素子分離領域18を形成する。これにより、バルク周辺回路領域7(バルク領域)のNチャネルMISFET領域7nおよびPチャネルMISFET領域7p、並びに、SOI領域8のNチャネルMISFET領域8nおよびPチャネルMISFET領域8pが区画される。
続いて、ウエハ1のデバイス面1a側から、イオン注入により、順次、SOI領域8のN型ウエル(またはP型ウエル)11sn(バックゲートN型ウエル)およびP型ウエル11sp(バックゲートP型ウエル)を導入する。イオン注入の条件は、各種の周辺条件によって変動するが、一例を挙げれば、たとえばP型ウエルの場合は、イオン種:ボロン、注入エネルギ:10keVから100keV程度の範囲、ドーズ量:1x1013/cmから4x1013/cm程度の範囲で、N型ウエルの場合は、イオン種:リン、注入エネルギ:10keVから100keV程度の範囲、ドーズ量:1x1013/cmから4x1013/cm程度の範囲である。
次に、図8に示すように、ウエハ1のデバイス面1a側から、イオン注入により、バルク周辺回路領域7のN型ウエル11bnを導入する。イオン注入の条件は、たとえば、イオン種:リン、注入エネルギ:10keVから100keV程度の範囲、ドーズ量:1x1013/cmから4x1013/cm程度の範囲を好適なものとして例示することができる。
次に、図9に示すように、ウエハ1のデバイス面1a側から、イオン注入により、バルク周辺回路領域7のP型ウエル11bpを導入する。イオン注入の条件は、たとえば、イオン種:ボロン、注入エネルギ:10keVから100keV程度の範囲、ドーズ量:1x1013/cmから4x1013/cm程度の範囲を好適なものとして例示することができる。なお、閾値電圧を調整するための各チャネル領域へのイオン注入の必要があるときは、たとえば、このステップで実行するのが好適である。なお、その他のステップでも可能である。
次に、図10に示すように、たとえば、ドライエッチング(たとえば、ハロゲン系エッチングガスを使用)により、バルク領域7のSOI層9を除去する。
続いて、たとえば、ウエットエッチング(たとえば、弗酸系エッチング液を使用)により、バルク領域7のBOX酸化膜10を除去する。
次に、図11に示すように、たとえば、熱酸化(たとえば、摂氏900度から1000度程度)により、バルク領域7のデバイス面1a上にバルク領域ライナーゲート絶縁膜12bs(たとえば、酸化シリコン膜で厚さは、たとえば10から20nm程度)を、SOI領域8のデバイス面1a上にSOI領域ライナーゲート絶縁膜12ss(たとえば、酸化シリコン膜で厚さは、たとえば1から3nm程度)を形成する。
次に、図12に示すように、ウエハ1のデバイス面1a上のほぼ全面に、High−kゲート絶縁膜として、たとえば、酸化ハフニウム膜等の酸化ハフニウム系絶縁膜14(厚さは、たとえば1から2nm程度)を成膜する。酸化ハフニウム系絶縁膜14としては、たとえば、ハフニウムシリコンオキシナイトライド膜(HfSiON膜)等を好適なものとして例示することができる。High−kゲート絶縁膜の成膜には、たとえば、ALD(Atomic Layer Deposition)法等を使用することができる。酸化ハフニウム系絶縁膜14としては、他にHfON膜、HfO膜等がある。この段階では、HfSiON膜、HfON膜、HfO膜等は、ノンドープ酸化ハフニウム系High−kゲート絶縁膜である。
続いて、酸化ハフニウム系絶縁膜14上のほぼ全面に、閾値電圧調整用キャップ膜として、たとえば、厚さ1nm程度のランタン膜19(ランタン含有仕事関数変調膜)を成膜する(ランタン膜19の代わりに、たとえば酸化ランタン膜でもよい)。続いて、ランタン膜19上のほぼ全面に、メタルキャップ膜として、たとえば、窒化チタン膜21(厚さは、たとえば10から50nm程度)を成膜する。更に、窒化チタン膜21上のほぼ全面に、酸化防止膜として、たとえば、窒化シリコン膜22(厚さは、たとえば100から300nm程度)を成膜する。
次に、図13に示すように、バルク周辺回路領域7のPチャネルMISFET領域7pのウエハ1のデバイス面1a上の窒化シリコン膜22、窒化チタン膜21およびランタン膜19を除去する。これらの窒化シリコン膜22、窒化チタン膜21およびランタン膜19の除去は、ウエットエッチングによって行われるが、これらの処理の薬液としては、たとえば熱燐酸(窒化シリコン膜)、APM(Ammonia Hydroxide/Hydrogen Peroxide Mixture)系エッチング液(窒化シリコン膜以外)、SPM(Sulfuric Acid Hydrogen Peroxide Mixture)系エッチング液(窒化シリコン膜以外)またはHPM(Hydrochloric Acid Hydrogen Peroxide Mixture)系エッチング液(窒化シリコン膜以外)を好適なものとして例示することができる。
続いて、ウエハ1のデバイス面1a上のほぼ全面に、閾値電圧調整用キャップ膜として、たとえば、厚さ1nm程度のアルミニウム膜20(アルミニウム含有仕事関数変調膜)を成膜する(図示の都合上、PチャネルMISFET領域7p以外のアルミニウム膜20は表示しない)。なお、アルミニウム膜20の代わりに、たとえば酸化アルミニウム膜でもよい。続いて、アルミニウム膜20上のほぼ全面に、メタルキャップ膜として、たとえば、窒化チタン膜23(厚さは、たとえば10から50nm程度)を成膜する。更に、窒化チタン膜23上のほぼ全面に、酸化防止膜として、たとえば、窒化シリコン膜24(厚さは、たとえば100から300nm程度)を成膜する。
次に、図14に示すように、SOI領域8の全面およびバルク領域7のNチャネルMISFET領域7nにおいて、窒化チタン膜23および窒化シリコン膜24を除去する。これらの窒化チタン膜23および窒化シリコン膜24の除去は、ウエットエッチングによって行われるが、これらの処理の薬液としては、たとえば熱燐酸(窒化シリコン膜)、APM(Ammonia Hydroxide/Hydrogen Peroxide Mixture)系エッチング液(窒化シリコン膜以外)、SPM(Sulfuric Acid Hydrogen Peroxide Mixture)系エッチング液(窒化シリコン膜以外)またはHPM(Hydrochloric Acid Hydrogen Peroxide Mixture)系エッチング液(窒化シリコン膜以外)を好適なものとして例示することができる。
この状態で、不活性雰囲気(たとえば、窒素ガス中)中で、熱処理(たとえば、摂氏780度から850度)を施すことにより、High−k絶縁膜と仕事関数変調膜との間の相互拡散を進行させる。
次に、図15に示すように、ウエハ1のデバイス面1a上の窒化チタン膜21、23および窒化シリコン膜22、24を除去する。これらの窒化チタン膜21、23および窒化シリコン膜22、24の除去は、ウエットエッチングによって行われるが、これらの処理の薬液としては、たとえば熱燐酸(窒化シリコン膜)、APM(Ammonia Hydroxide/Hydrogen Peroxide Mixture)系エッチング液(窒化シリコン膜以外)、SPM(Sulfuric Acid Hydrogen Peroxide Mixture)系エッチング液(窒化シリコン膜以外)またはHPM(Hydrochloric Acid Hydrogen Peroxide Mixture)系エッチング液(窒化シリコン膜以外)を好適なものとして例示することができる。
次に、図16(図15参照)に示すように、残存するランタン膜19およびアルミニウム膜20を除去する。ここで、酸化ハフニウム系絶縁膜14は、すでに、ランタンドープ酸化ハフニウム系High−kゲート絶縁膜14hlおよびアルミニウムドープ酸化ハフニウム系High−kゲート絶縁膜14haになっている。
次に、図17に示すように、ウエハ1のデバイス面1a上のほぼ全面に、メタルゲート電極膜として、窒化チタン膜15(たとえば、膜厚は5から20nm程度)を成膜する。
次に、図18に示すように、窒化チタン膜15上のほぼ全面に、ポリシリコンゲート電極膜(厚さは、たとえば100から200nm程度)として、ポリシリコン膜16(アモルファスシリコン膜でもよい)を成膜する。ここで、必要に応じて、たとえば、イオン注入により、ポリシリコン膜16へのドーピングを実行する(たとえば、Nチャネル側は、高濃度N型に、Pチャネル側は、高濃度P型にドープする)。
次に、図19に示すように、たとえば、通常のリソグラフィおよび異方性ドライエッチング等により、ゲートスタック構造のパターニングを実行する。この異方性ドライエッチングのガス系としては、SF/CF(ポリシリコン)、HBr/Cl(窒化チタン)、BCl/Cl(High−k絶縁膜)系等を好適なものとして例示することができる。
次に、図20に示すように、ウエハ1のデバイス面1a上のほぼ全面に、CVD等により、たとえば、窒化シリコン膜(たとえば、厚さ3から6nm程度)を成膜し、その後、異方性ドライエッチング等により、エッチバックを実行することで、オフセットスペーサ25を形成する。
続いて、順次、イオン注入により、N型SOI部ソースドレインエクステンション領域27sn、P型SOI部ソースドレインエクステンション領域27sp、N型バルク部ソースドレインエクステンション領域27bn、P型バルク部ソースドレインエクステンション領域27bp等を導入する。N型SOI部ソースドレインエクステンション領域27snおよびN型バルク部ソースドレインエクステンション領域27bnのイオン注入の条件は、たとえば、イオン種:砒素、注入エネルギ:2keV程度、ドーズ量:2x1014/cmから8x1014/cm程度の範囲を好適なものとして例示することができる。P型SOI部ソースドレインエクステンション領域27spおよびP型バルク部ソースドレインエクステンション領域27bpのイオン注入の条件は、たとえば、イオン種:ボロン、注入エネルギ:0.5keV程度、ドーズ量:2x1014/cmから8x1014/cm程度の範囲を好適なものとして例示することができる。
次に、図21に示すように、たとえば、CVD等により、酸化シリコンサイドウォールスペーサ膜(たとえば、厚さ5から10nm程度)および窒化シリコンサイドウォールスペーサ膜(たとえば、厚さ10から30nm程度)を順次、成膜して、異方性ドライエッチング(たとえば、フルオロカーボン系エッチングガスを使用)によるエッチバックを実行することにより、酸化シリコンサイドウォールスペーサ26aおよび窒化シリコンサイドウォールスペーサ26bを形成する。
次に、図22に示すように、各ソースドレイン領域の表面上に、選択的なエピタキシシリコン層28(エレベイテッドSD層)を形成する(厚さは、たとえば20から60nm程度)。
次に、図23に示すように、イオン注入により、各高濃度領域ソースドレイン領域を導入することにより、N型SOI部ソースドレイン領域29sn、P型SOI部ソースドレイン領域29sp、N型バルク部ソースドレイン領域29bn、P型バルク部ソースドレイン領域29bp等を形成する。N型SOI部ソースドレイン領域29snおよびN型バルク部ソースドレイン領域29bnの高濃度領域ソースドレイン領域のイオン注入の条件は、たとえば、イオン種:砒素、注入エネルギ:20keV程度、ドーズ量:4x1015/cm程度の範囲を好適なものとして例示することができる。P型SOI部ソースドレイン領域29spおよびP型バルク部ソースドレイン領域29bpの高濃度領域ソースドレイン領域のイオン注入の条件は、たとえば、イオン種:ボロン、注入エネルギ:2keV程度、ドーズ量:4x1015/cm程度の範囲を好適なものとして例示することができる。
更に、各エレベイテッドSD層およびゲートポリシリコン膜16の表面に、必要に応じて、たとえば、ニッケル系シリサイド膜等を形成する。
次に、図24に示すように、ウエハ1のデバイス面1a上のほぼ全面に、順次、プラズマCVD等により、比較的薄い窒化シリコン膜、比較的厚い酸化シリコン系絶縁膜等からなるプリメタル(Premetal)絶縁膜30を形成する。続いて、たとえば、異方性ドライエッチングにより、コンタクトホールを形成し、そこに、タングステンプラグ31等を埋め込む。更に、プリメタル絶縁膜30上に、第1層配線32を形成する。配線は、埋め込み配線でも、非埋め込み配線でもよい。配線は必要に応じて、たとえば、3層から十数層程度形成する。
配線工程が完了すると、ウエハ1は、ダイシング等により、チップ2に分割される。
6.本願の実施の形態2の半導体集積回路装置におけるゲートスタック構造2(Pチャネルノンドープゲート)の説明(主に図25)
このセクションで説明するゲートスタック構造は、図6の変形例である。製法については、セクション5で説明したものと、ほとんど同じであるので、説明は繰り返さない(以下のその他の例も同じ)。
図25は本願の実施の形態2の半導体集積回路装置におけるゲートスタック構造2(Pチャネルノンドープゲート)を示すゲートスタック及び基板の模式断面図である。これに基づいて、本願の実施の形態2の半導体集積回路装置におけるゲートスタック構造2(Pチャネルノンドープゲート)を説明する。
図25に示すように、図6と比較して、バルクPチャネルMISFETゲートスタック17bpおよびSOI領域のPチャネルMISFETゲートスタック17sp、すなわちPチャネルMISFETゲートスタックのHigh−kゲート絶縁膜が、ノンドープ酸化ハフニウム系High−kゲート絶縁膜14hnと成っている点が相違している。
ここで、SOI領域8のPチャネルMISFETの閾値電圧の絶対値は、ノンドープのため熱処理により上昇するが、バックゲート(P型MISFETバックゲート不純物ドープ半導体領域11sn)への不純物の導入量の調整(P型不純物のドーズ量の増加、または、N型不純物のドーズ量の減少により閾値電圧の絶対値は降下する)、順方向バイアスの印加、チャネル領域への不純物の導入量の調整、または、これらの組み合わせ等により適正な値に下げることができる。
なお、目標とする各ゲートスタック(ゲート電極)の有効仕事関数(Effective Work Function)の範囲を例示するとすれば以下のごとくである。すなわち、バルクNチャネルMISFETゲートスタック17bn:4.2から4.6eV程度、バルクPチャネルMISFETゲートスタック17bp:4.5から4.8eV程度、SOI領域のNチャネルMISFETゲートスタック17sn:4.2から4.6eV程度、SOI領域のPチャネルMISFETゲートスタック17sp:4.5から4.8eV程度である。
このゲートスタック構造の組み合わせにおいては、以下のメリットを有する。
(1)バルク領域7およびSOI領域8(このメリットは、SOI領域のみのチップにおいても有効である)において、ゲートスタック構造への閾値調整膜が一種類となるので、複雑な加工の繰り返しを回避できるほか、同様の理由で、ゲートスタック構造の下層部に対するダメージを低減することができる。
なお、ここで示したような閾値電圧調整膜の配置(NチャネルMISFETゲートスタックの全てをランタンドープとし、その他をノンドープとする)とする代わりに、NチャネルMISFETゲートスタックの全てのHigh−kゲート絶縁膜をノンドープとし、PチャネルMISFETゲートスタックの全てのHigh−kゲート絶縁膜をアルミニウムドープとしてもよい。その場合は、両PチャネルMISFETの閾値電圧の制御が容易となる。
7.本願の実施の形態3の半導体集積回路装置におけるゲートスタック構造3(バルクNon−High−kゲート)の説明(主に図26)
このセクションで説明するゲートスタック構造は、図6の変形例である。
図26は本願の実施の形態3の半導体集積回路装置におけるゲートスタック構造3(バルクNon−High−kゲート)を示すゲートスタック及び基板の模式断面図である。これに基づいて、本願の実施の形態3の半導体集積回路装置におけるゲートスタック構造3(バルクNon−High−kゲート)を説明する。
図26に示すように、図6と比較して、バルクNチャネルMISFETゲートスタック17bnおよびバルクPチャネルMISFETゲートスタック17bp、すなわちバルクMISFETゲートスタックのHigh−kゲート絶縁膜がない点が相違している。
ここで、SOI領域8のPチャネルMISFETの閾値電圧の絶対値は、ランタンのドープの影響で上昇するが、バックゲート(P型MISFETバックゲート不純物ドープ半導体領域11sn)への不純物の導入量の調整(P型不純物のドーズ量の増加、または、N型不純物のドーズ量の減少により閾値電圧の絶対値は降下する)、順方向バイアスの印加、チャネル領域への不純物の導入量の調整、または、これらの組み合わせ等により適正な値に下げることができる。
また、バルク領域7の各チャネルMISFETの閾値電圧の絶対値は、High−kゲート絶縁膜がないので、熱処理により以上に上昇することがないので、チャネル領域への不純物の導入量の調整等の通常の方法で制御可能である。
なお、目標とする各ゲートスタック(ゲート電極)の有効仕事関数(Effective Work Function)の範囲を例示するとすれば以下のごとくである。すなわち、バルクNチャネルMISFETゲートスタック17bn:4.35から4.85eV程度、バルクPチャネルMISFETゲートスタック17bp:4.35から4.85eV程度、SOI領域のNチャネルMISFETゲートスタック17sn:4.2から4.6eV程度、SOI領域のPチャネルMISFETゲートスタック17sp:4.5から4.8eV程度である。
このゲートスタック構造の組み合わせにおいては、以下のメリットを有する。
(1)バルク領域7およびSOI領域8(このメリットは、SOI領域のみのチップにおいても有効である)において、ゲートスタック構造への閾値調整膜が一種類となるので、複雑な加工の繰り返しを回避できるほか、同様の理由で、ゲートスタック構造の下層部に対するダメージを低減することができる(信頼性向上)。
(2)バルク領域7において、High−kゲート絶縁膜がないので、バルク領域7のMISFETのチャネル移動度を向上させることができる。
なお、ここで示したような閾値電圧調整膜の配置(SOI領域のMISFETゲートスタックの全てをランタンドープとし、その他をノンHigk−kゲート絶縁膜とする)とする代わりに、SOI領域のMISFETゲートスタックの全てのHigh−kゲート絶縁膜をアルミニウムドープとし、バルク領域のMISFETゲートスタックの全てのノンHigh−kゲート絶縁膜としてもよい。その場合は、SOI領域のPチャネルMISFETの閾値電圧の制御が容易となる。
8.本願の実施の形態4の半導体集積回路装置におけるゲートスタック構造4(異種ドープゲート)の説明(主に図27)
このセクションで説明するゲートスタック構造は、図25(または図6)の変形例である。
図27は本願の実施の形態4の半導体集積回路装置におけるゲートスタック構造4(異種ドープゲート)を示すゲートスタック及び基板の模式断面図である。これに基づいて、本願の実施の形態4の半導体集積回路装置におけるゲートスタック構造4(異種ドープゲート)を説明する。
図27に示すように、図25と比較して、バルクPチャネルMISFETゲートスタック17bpおよびSOI領域のPチャネルMISFETゲートスタック17sp、すなわちPチャネルMISFETゲートスタックのHigh−kゲート絶縁膜に、アルミニウム等がドープされている点が異なっている。
なお、目標とする各ゲートスタック(ゲート電極)の有効仕事関数(Effective Work Function)の範囲を例示するとすれば以下のごとくである。すなわち、バルクNチャネルMISFETゲートスタック17bn:4.2から4.6eV程度、バルクPチャネルMISFETゲートスタック17bp:4.5から4.8eV程度、SOI領域のNチャネルMISFETゲートスタック17sn:4.2から4.6eV程度、SOI領域のPチャネルMISFETゲートスタック17sp:4.5から4.8eV程度である。
このゲートスタック構造の組み合わせにおいては、以下のメリットを有する。
(1)バルク領域7およびSOI領域8において、NチャネルMISFETとPチャネルMISFETで閾値調整用ドープ不純物を変えているので、閾値電圧の制御が容易である。
(2)更に、バックウエルへのドープ量と導電型の選択で閾値電圧の制御ができるので、閾値電圧の制御が更に容易となる(このメリットは、SOI領域のみのチップにおいても有効である)。
9.本願の実施の形態5の半導体集積回路装置におけるゲートスタック構造5(SOIノンドープゲート)の説明(主に図28)
このセクションで説明するゲートスタック構造は、図6の変形例である。
図28は本願の実施の形態5の半導体集積回路装置におけるゲートスタック構造5(SOIノンドープゲート)を示すゲートスタック及び基板の模式断面図である。これに基づいて、本願の実施の形態5の半導体集積回路装置におけるゲートスタック構造5(SOIノンドープゲート)を説明する。
図28に示すように、図6と比較して、SOI領域のNチャネルMISFETゲートスタック17snおよびSOI領域のPチャネルMISFETゲートスタック17sp、すなわちSOI型MISFETゲートスタックのHigh−kゲート絶縁膜が、ノンドープ酸化ハフニウム系High−kゲート絶縁膜14hnと成っている点が相違している。
ここで、SOI領域8の各MISFETの閾値電圧の絶対値は、ノンドープのため熱処理により上昇するが、バックゲート(N型MISFETバックゲート不純物ドープ半導体領域、P型MISFETバックゲート不純物ドープ半導体領域11sn)への不純物の導入量の調整(P型不純物のドーズ量の増加、または、N型不純物のドーズ量の減少により閾値電圧の絶対値は降下する)、順方向バイアスの印加、チャネル領域への不純物の導入量の調整、または、これらの組み合わせ等により適正な値に下げることができる。
なお、目標とする各ゲートスタック(ゲート電極)の有効仕事関数(Effective Work Function)の範囲を例示するとすれば以下のごとくである。すなわち、バルクNチャネルMISFETゲートスタック17bn:4.2から4.6eV程度、バルクPチャネルMISFETゲートスタック17bp:4.6から4.9eV程度、SOI領域のNチャネルMISFETゲートスタック17sn:4.35から4.85eV程度、SOI領域のPチャネルMISFETゲートスタック17sp:4.35から4.85eV程度である。
このゲートスタック構造の組み合わせにおいては、以下のメリットを有する。
(1)SOI領域8(このメリットは、SOI領域のみのチップにおいても有効である)において、ゲートスタック構造への閾値調整膜がないので、複雑な加工の繰り返しを回避できるほか、同様の理由で、ゲートスタック構造の下層部に対するダメージを低減することができる(信頼性向上)。
(2)更に、バックウエルへのドープ量と導電型の選択で閾値電圧の制御ができるので、閾値電圧の制御が比較的容易となる(このメリットは、SOI領域のみのチップにおいても有効である)。
10.本願の実施の形態の半導体集積回路装置に対する製造プロセスの変形例(ゲートラスト方式)の主要部およびデバイス構造等の説明(主に図30から図43)
セクション5において説明した製造プロセスは、ゲートファースト(Gate First)方式によるものであるが、同様のデバイス(セクション1から9等に説明したもの)は、ゲートラスト(Gate Last)方式によっても製造することができる。本セクションでは、本願発明に適合したゲートラスト方式によるプロセスの例およびゲートラスト方式に適合したデバイスの例を説明する。
(1)本願の実施の形態の半導体集積回路装置に対する製造プロセスの変形例であるゲートラスト方式に適合したゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)の説明(主に図42)
図42は本願の実施の形態の半導体集積回路装置に対する製造プロセスの変形例であるゲートラスト方式に適合したゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)を示すゲートスタック及び基板の模式断面図である。これに基づいて、本願の実施の形態の半導体集積回路装置に対する製造プロセスの変形例であるゲートラスト方式に適合したゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)を説明する。
図42に示すように、基本的に図6に示すものとほぼ同じであるが、バルクPチャネルMISFETゲートスタック17bpもランタン添加となっている点が異なる。
ここで、SOI領域8およびバルク領域7のPチャネルMISFETの閾値電圧の絶対値は、ランタンのドープの影響で上昇するが、バックゲート(P型MISFETバックゲート不純物ドープ半導体領域11sn)への不純物の導入量の調整(P型不純物のドーズ量の増加、または、N型不純物のドーズ量の減少により閾値電圧の絶対値は降下する)、順方向バイアスの印加、チャネル領域への不純物の導入量の調整、または、これらの組み合わせ等により適正な値に下げることができる。
なお、目標とする各ゲートスタック(ゲート電極)の有効仕事関数(Effective Work Function)の範囲を例示するとすれば以下のごとくである。すなわち、バルクNチャネルMISFETゲートスタック17bn:4.2から4.6eV程度、バルクPチャネルMISFETゲートスタック17bp:4.7から5.0eV程度、SOI領域のNチャネルMISFETゲートスタック17sn:4.2から4.6eV程度、SOI領域のPチャネルMISFETゲートスタック17sp:4.7から5.0eV程度である。
このゲートスタック構造の組み合わせにおいては、以下のメリットを有する。
(1)SOI領域8及びバルク領域7におけるゲートスタック構造が共通しているので、複雑な加工の繰り返しを回避できるほか、同様の理由で、ゲートスタック構造の下層部に対するダメージを低減することができる(このメリットは、SOI領域のみのチップにおいても有効である)。
(2)バルク領域7およびSOI領域8において、酸化シリコン系ライナーゲート絶縁膜12bs、12ssの厚さを除き、全領域において、1種類のゲートスタック構造でMISFETが構成できるので、プロセスを大幅に関すかすることが可能である。
(3)ゲートファースト方式に比べて、高温熱処理負担が小さいのでゲート絶縁膜の信頼性が向上する。
なお、ここで示したような閾値電圧調整膜の配置(全てのゲートスタックをランタンドープとする)とする代わりに、全てのゲートスタックにアルミニウム系閾値電圧調整膜(アルミニウム含有仕事関数変調膜)を適用しても良い。その場合は、PチャネルMISFET(Qsp)およびバルクP型MISFET(Qbp)の閾値電圧の制御が容易となる。
(2)ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの要部の説明(主に図30から図40)
このサブセクションでは、ダミーゲートポリシリコンを除去した後に閾値電圧調整膜を形成するプロセスを例(ダミーゲート除去後Vth調整方式)に取り具体的に説明するが、ダミーゲート形成時にゲート絶縁膜を完成させておく、いわゆるHigh−kファースト−メタルゲートラスト(High−k First Metal Gate Last)方式や、ダミーゲートポリシリコンを除去した後にHigh−kゲート絶縁膜を形成する古典的な置き換えゲート(Replacement Gate)方式等にも適用できることは言うまでもない。
図30はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ダミーゲート材料堆積工程)である。図31はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ダミーゲートパターニングおよびエクステンション領域導入工程)である。図32はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(サイドウォール、エレベイテッドソースドレイン形成、およびソースドレイン不純物導入工程)である。図33はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(プリメタル絶縁膜堆積工程)である。図34はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(CMP工程)である。図35はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ダミーポリシリコン除去工程)である。図36はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ランタン膜堆積工程)である。図37はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(メタルゲート膜埋め込み工程)である。図38はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(メタルゲート膜エッチバック工程)である。図39はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(ランタン膜エッチバック工程)である。図40はゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(配線形成工程)である。これらに基づいて、ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの要部等を説明する。なお、図7から図11までのプロセスは、セクション5に説明したところと同一であるので、ここでは、それ以降のプロセスについて説明する。
図11の状態で、図30に示すように、ウエハ1のデバイス面1a上のほぼ全面に、High−kゲート絶縁膜として、たとえば、酸化ハフニウム膜等の酸化ハフニウム系絶縁膜14(厚さは、たとえば1から2nm程度)を成膜する。酸化ハフニウム系絶縁膜14としては、たとえば、ハフニウムシリコンオキシナイトライド膜(HfSiON膜)等を好適なものとして例示することができる。High−kゲート絶縁膜の成膜には、たとえば、ALD(Atomic Layer Deposition)法等を使用することができる。酸化ハフニウム系絶縁膜14としては、他にHfON膜、HfO膜等がある。この段階では、HfSiON膜、HfON膜、HfO膜等は、ノンドープ酸化ハフニウム系High−kゲート絶縁膜14hnである。
続いて、ノンドープ酸化ハフニウム系High−kゲート絶縁膜14hn上のほぼ全面に、ダミーゲートポリシリコン膜16dを、たとえば150から200nm程度の厚さで、たとえばCVDにより成膜する。更に、ダミーゲートポリシリコン膜16d上のほぼ全面に、ゲート加工用窒化シリコン膜41を、たとえば10から50nm程度の厚さで、たとえばCVDにより成膜する。
次に、図31に示すように、たとえば、通常のリソグラフィおよび異方性ドライエッチング等により、ダミーゲートスタック構造のパターニングを実行する。この異方性ドライエッチングのガス系としては、SF/CF(ポリシリコン)、HBr/Cl(窒化チタン)、BCl/Cl(High−k絶縁膜)系等を好適なものとして例示することができる。続いて、順次、イオン注入により、N型SOI部ソースドレインエクステンション領域27sn、P型SOI部ソースドレインエクステンション領域27sp、N型バルク部ソースドレインエクステンション領域27bn、P型バルク部ソースドレインエクステンション領域27bp等を導入する。N型SOI部ソースドレインエクステンション領域27snおよびN型バルク部ソースドレインエクステンション領域27bnのイオン注入の条件は、たとえば、イオン種:砒素、注入エネルギ:2keV程度、ドーズ量:2x1014/cmから8x1014/cm程度の範囲を好適なものとして例示することができる。P型SOI部ソースドレインエクステンション領域27spおよびP型バルク部ソースドレインエクステンション領域27bpのイオン注入の条件は、たとえば、イオン種:ボロン、注入エネルギ:0.5keV程度、ドーズ量:2x1014/cmから8x1014/cm程度の範囲を好適なものとして例示することができる。
次に、図32に示すように、たとえば、摂氏900度程度で熱酸化することにより、ダミーゲートポリシリコン膜16dの側面に酸化シリコン系スペーサ膜25(たとえば、厚さ30nm程度)を形成する。続いて、たとえば、CVD等により、酸化シリコンサイドウォールスペーサ膜(たとえば、厚さ5から10nm程度)および窒化シリコンサイドウォールスペーサ膜(たとえば、厚さ10から30nm程度)を順次、成膜して、異方性ドライエッチング(たとえば、フルオロカーボン系エッチングガスを使用)によるエッチバックを実行することにより、酸化シリコンサイドウォールスペーサ26aおよび窒化シリコンサイドウォールスペーサ26bを形成する。更に、各ソースドレイン領域の表面上に、選択的なエピタキシシリコン層28(エレベイテッドSD層)を形成する(厚さは、たとえば20から60nm程度)。その後、イオン注入により、各高濃度領域ソースドレイン領域を導入することにより、N型SOI部ソースドレイン領域29sn、P型SOI部ソースドレイン領域29sp、N型バルク部ソースドレイン領域29bn、P型バルク部ソースドレイン領域29bp等を形成する。N型SOI部ソースドレイン領域29snおよびN型バルク部ソースドレイン領域29bnの高濃度領域ソースドレイン領域のイオン注入の条件は、たとえば、イオン種:砒素、注入エネルギ:20keV程度、ドーズ量:4x1015/cm程度の範囲を好適なものとして例示することができる。P型SOI部ソースドレイン領域29spおよびP型バルク部ソースドレイン領域29bpの高濃度領域ソースドレイン領域のイオン注入の条件は、たとえば、イオン種:ボロン、注入エネルギ:2keV程度、ドーズ量:4x1015/cm程度の範囲を好適なものとして例示することができる。
更に、各エレベイテッドSD層28の表面に、必要に応じて、たとえば、ニッケル系シリサイド膜等を形成する(図示が煩雑になるので、シリサイド層は省略している)。
次に、図33に示すように、ウエハ1のデバイス面1a上のほぼ全面に、順次、プラズマCVD等により、CESL(Contact Etch Stop Layer)膜である比較的薄い窒化シリコン膜30a(厚さは、たとえば10から60nm程度)、比較的厚い酸化シリコン系絶縁膜30b等からなるプリメタル(Premetal)絶縁膜30を形成する。
次に、図34に示すように、たとえば、CMP(Chemical Vapor Deposition)により、ゲート加工用窒化シリコン膜41を除去するまで、表面平坦化を実行する。
次に、図35に示すように、たとえば、APM(Ammonia/Hydrogen Peroxide Mixture)等の薬液を用いたウエットエッチングまたは、等方性ドライエッチング等(エッチング雰囲気は、たとえば、SF系雰囲気)により、ダミーゲートポリシリコン膜16dを除去する。
次に、図36に示すように、ウエハ1のデバイス面1aのほぼ全体に、たとえば、スパッタリング成膜等により、たとえば1nm程度の厚さの閾値電圧調整膜19(たとえば、ランタン膜)を成膜する。
次に、図37に示すように、ウエハ1のデバイス面1aのほぼ全体に、たとえば、CVDにより、ダミーゲートを除去した溝を埋め込むように、ゲート窒化チタン膜15を成膜する。
次に、図38に示すように、たとえばAPM(Ammonia/Hydrogen Peroxide Mixture)等の薬液を用いたウエットエッチングまたは、SF等を含むエッチングガスを用いた等方性ドライエッチング等により、ゲート窒化チタン膜15の余剰部分(溝の外部の部分)をエッチバックする。
次に、図39に示すように、たとえば塩酸系の薬液(その他の硝酸系、燐酸系、硫酸系、HBr系、酢酸系、蟻酸系、プロピオン酸系などの希釈された酸等でも良い)を用いたウエットエッチング等により、ランタン膜19の余剰部分(溝の外部の部分)をエッチバックする。
次に、図40に示すように、たとえば、異方性ドライエッチングにより、コンタクトホールを形成し、そこに、タングステンプラグ31等を埋め込む。更に、プリメタル絶縁膜30上に、第1層配線32を形成する。配線は、埋め込み配線でも、非埋め込み配線でもよい。配線は必要に応じて、たとえば、3層から十数層程度形成する。
配線工程が完了すると、ウエハ1は、ダイシング等により、チップ2に分割される。
(3)本願の実施の形態の半導体集積回路装置に対する製造プロセスの変形例であるゲートラスト方式に適合したゲートスタック構造の変形例2(全共通無添加ゲート絶縁膜)の説明(主に図43)
図43は本願の実施の形態の半導体集積回路装置に対する製造プロセスの変形例であるゲートラスト方式に適合したゲートスタック構造の変形例2(全共通無添加ゲート絶縁膜)を示すゲートスタック及び基板の模式断面図である。これに基づいて、本願の実施の形態の半導体集積回路装置に対する製造プロセスの変形例であるゲートラスト方式に適合したゲートスタック構造の変形例2(全共通無添加ゲート絶縁膜)を説明する。
図43に示すように、基本的に図28に示すものとほぼ同じであるが、バルクNチャネルMISFETゲートスタック17bnおよびバルクPチャネルMISFETゲートスタック17bpもノンドープとなっている点が異なる。
ここで、各MISFETの閾値電圧の絶対値は、ノンドープのため熱処理により上昇するが、バックゲート(N型MISFETバックゲート不純物ドープ半導体領域、P型MISFETバックゲート不純物ドープ半導体領域)への不純物の導入量の調整(P型不純物のドーズ量の増加又は減少、または、N型不純物のドーズ量の増加又は減少により閾値電圧の絶対値を調整する)、順方向バイアスの印加、チャネル領域への不純物の導入量の調整、または、これらの組み合わせ等により適正な値に下げることができる。
なお、目標とする各ゲートスタック(ゲート電極)の有効仕事関数(Effective Work Function)の範囲を例示するとすれば以下のごとくである。すなわち、バルクNチャネルMISFETゲートスタック17bn:4.2から4.6eV程度、バルクPチャネルMISFETゲートスタック17bp:4.6から4.9eV程度、SOI領域のNチャネルMISFETゲートスタック17sn:4.35から4.85eV程度、SOI領域のPチャネルMISFETゲートスタック17sp:4.35から4.85eV程度である。
このゲートスタック構造の組み合わせにおいては、以下のメリットを有する。
(1)SOI領域8(このメリットは、SOI領域のみのチップにおいても有効である)において、ゲートスタック構造への閾値調整膜がないので、複雑な加工の繰り返しを回避できるほか、同様の理由で、ゲートスタック構造の下層部に対するダメージを低減することができる(信頼性向上)。
(2)更に、バックウエルへのドープ量と導電型の選択で閾値電圧の制御ができるので、閾値電圧の制御が比較的容易となる(このメリットは、SOI領域のみのチップにおいても有効である)。
(3)ゲートファースト方式と比べて熱処理負担が軽減されるので、ゲート絶縁膜の信頼性が向上するほか、閾値電圧調整も比較的容易である。
(4)ゲートスタック構造の変形例2(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの要部の説明(主に図41)
この例は、High−kファースト−メタルゲートラスト方式(基本的にゲートラスト方式である)に属する。
図41はゲートスタック構造の変形例2(全共通無添加ゲート絶縁膜)に適合した製造プロセスの主要部等を説明するためのウエハ部分断面図(配線形成工程)である。これに基づいて、ゲートスタック構造の変形例1(全共通ランタン添加ゲート絶縁膜)に適合した製造プロセスの要部を説明する。
この例では、サブセクション(2)のプロセスにおいて、図36及び図39のプロセスをスキップすればよい(すなわち、ランタン含有仕事関数変調膜19を形成しない)。
11.各実施の形態に対する補足的説明及び考察(図1から図6、および図25から図29を参照)
High−kゲート絶縁膜を有するMISFETは、High−kゲート絶縁膜それ自体の特性及びその工程の複雑さから、High−kゲート絶縁膜を使用しないものに比べて、チャネル移動度やデバイス信頼性が低くなる傾向がある。しかし、High−kゲート絶縁膜と、窒化チタン等のミッドギャップ(Mid−Gap)メタルゲート電極との組み合わせが、比較的単純なプロセスでCMIS構成が可能であると考えられている。
また、High−kゲート絶縁膜を有するMISFETの閾値電圧の絶対値は、高温熱処理により上昇するので、閾値電圧の制御が困難である。この閾値電圧をFLP(Fermi−Level Pinning)という。また、この効果は、特にPチャネルMISFETの閾値電圧の絶対値制御を困難にしている。しかし、SOI領域(SOIデバイス)においては(より正確にはFD−SOIデバイス)、バックゲートに順方向バイアス(順方向バイアスを増大させると閾値電圧の絶対値が下がる)をかけることができ、それにより閾値電圧の絶対値を下げることができる。また、バックゲートに導入する不純物の導電型又はドーズ量を変えることにより、閾値電圧の絶対値を下げることができる。すなわち、NチャネルMISFETの場合は、Pウエルの濃度を下げるか、Nウエルの濃度を上げると、閾値電圧が降下する。一方、PチャネルMISFETの場合は、Nウエルの濃度を下げるか、Pウエルの濃度を上げると、閾値電圧の絶対値が降下する。
従って、SOI領域8を有する半導体チップでは、閾値電圧の制御自由度が大きいため、閾値電圧の制御性を確保しつつ、プロセスを簡素化することが可能である。
バックゲートバイアスを適用したいときは、少なくともSOI領域8は、図4又は図29のような電源供給構造を適用するのが好適である。一方、バックゲートバイアスを適用しないときは、少なくともSOI領域8は、図2のような電源供給構造を適用するのが集積度を確保する点から好適である。
12.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
例えば、本願においては、High−kゲート絶縁膜として、HfSiON膜等の酸化ハフニウム系High−kゲート絶縁膜(HfON、HfO)を使用した例を具体的に説明したが、本発明はそれに限定されるものではなく、その他のHigh−kゲート絶縁膜でもよいことは言うまでもない。また、メタルゲート電極として、TiNを用いた例を具体的に説明したが、本発明はそれに限定されるものではなく、TaN,TaCその他の材料を用いてもよいことは言うまでもない。
また、本願においては、閾値調整膜であるアルミニウム膜等のアルミニウム含有膜を熱処理後に除去する例を具体的に説明したが、本発明はそれに限定されるものではなく、アルミニウム膜等をそのまま残すものでもよいことはいうまでもない。
更に、本願においては、Nチャネル用閾値調整膜として、ランタン含有膜の例を具体的に説明したが、本発明はそれに限定されるものではなく、その他の部材を用いたものでもよいことは言うまでもない。この点は、Pチャネル用閾値調整膜についても全く同じである。
1 半導体ウエハ
1a 半導体ウエハまたはチップのデバイス面(第1の主面)
1b 半導体ウエハまたはチップの裏面(第2の主面)
1s P型単結晶シリコン基板(ウエハまたはチップのP型基板部)
2 半導体チップまたはチップ領域
3 ノッチ
4 ボンディングパッド
5 SOIメモリ領域
6 SOIロジック領域
7 バルク周辺回路領域(バルク領域)
7n バルク周辺回路領域のNチャネルMISFET領域
7p バルク周辺回路領域のPチャネルMISFET領域
8 SOI領域
8n SOI領域のNチャネルMISFET領域
8p SOI領域のPチャネルMISFET領域
9 SOI層
9n N型SOI層
9p P型SOI層
10 BOX酸化膜(BOX絶縁膜)
11bn バルク周辺回路領域のN型ウエル
11bp バルク周辺回路領域のP型ウエル
11sn SOI領域のN型ウエルまたはP型ウエル(P型MISFETバックゲート不純物ドープ半導体領域)
11sp SOI領域のP型ウエル(N型MISFETバックゲート不純物ドープ半導体領域)
12bs バルク領域ライナーゲート絶縁膜
12ss SOI領域ライナーゲート絶縁膜
14 High−kゲート絶縁膜
14ha アルミニウムドープ酸化ハフニウム系High−kゲート絶縁膜
14hl ランタンドープ酸化ハフニウム系High−kゲート絶縁膜
14hn ノンドープ酸化ハフニウム系High−kゲート絶縁膜
15 ゲート窒化チタン膜
16 ゲートポリシリコン膜
16d ダミーゲートポリシリコン膜
17bn バルクNチャネルMISFETゲートスタック
17bp バルクPチャネルMISFETゲートスタック
17sn SOI領域のNチャネルMISFETゲートスタック
17sp SOI領域のPチャネルMISFETゲートスタック
18 素子分離領域
19 ランタン膜(ランタン含有仕事関数変調膜)
20 アルミニウム膜(アルミニウム含有仕事関数変調膜)
21 窒化チタンメタルキャップ膜
22 窒化シリコンハードマスク膜
23 窒化チタンメタルキャップ膜
24 窒化シリコンハードマスク膜
25 オフセットスペーサ(窒化シリコン膜または酸化シリコン膜)
26a 酸化シリコンサイドウォールスペーサ
26b 窒化シリコンサイドウォールスペーサ
27bn N型バルク部ソースドレインエクステンション領域
27bp P型バルク部ソースドレインエクステンション領域
27sn N型SOI部ソースドレインエクステンション領域
27sp P型SOI部ソースドレインエクステンション領域
28 エピタキシ層(エレベイテッドSD層)
29bn N型バルク部ソースドレイン領域(高濃度領域及びエクステンション領域を含む)
29bp P型バルク部ソースドレイン領域(高濃度領域及びエクステンション領域を含む)
29sn N型SOI部ソースドレイン領域(高濃度領域及びエクステンション領域を含む)
29sp P型SOI部ソースドレイン領域(高濃度領域及びエクステンション領域を含む)
30 プリメタル絶縁膜
30a プリメタル絶縁膜の下層窒化シリコン系絶縁膜
30b プリメタル絶縁膜の上層酸化シリコン系絶縁膜
31 タングステンプラグ
32 第1層配線
33n Pチャネルデバイス領域のN型またはP型ディープウエル領域
33p P型ディープウエル領域
34n N型コンタクト領域
34p P型コンタクト領域
35sn SOI部のN型MISFETのゲート絶縁膜
35sp SOI部のP型MISFETのゲート絶縁膜
36sn SOI部のN型MISFETのゲート電極
36sp SOI部のP型MISFETのゲート電極
37bn バルク部のN型MISFETのゲート絶縁膜
37bp バルク部のP型MISFETのゲート絶縁膜
38bn バルク部のN型MISFETのゲート電極
38bp バルク部のP型MISFETのゲート電極
39 3重N型ウエル領域
41 ゲート加工用窒化シリコン膜
Abn バルクN型MISFETのアクティブ領域
Abp バルクP型MISFETのアクティブ領域
Asn SOI−N型MISFETのアクティブ領域
Asp SOI−P型MISFETのアクティブ領域
Qbn バルクN型MISFET
Qbp バルクP型MISFET
Qsn SOI−N型MISFET
Qsp SOI−P型MISFET
Vcc バルク領域の電源電位
Vdd SOI領域の電源電位
Vin 入力部又は入力端子
Vnb Nチャネルバックゲートバイアス
Vout 出力部または出力端子
Vpb Pチャネルバックゲートバイアス
Vss 基準電位

Claims (20)

  1. 以下を含む半導体集積回路装置:
    (a)第1の主面及び第2の主面を有する半導体チップ;
    (b)前記半導体チップの前記第1の主面に設けられたSOI領域;
    (c)前記SOI領域内に設けられた第1のNチャネルMISFET領域および第1のPチャネルMISFET領域;
    (d)前記半導体チップの前記第1の主面側であって、前記第1のNチャネルMISFET領域内に設けられ、High−k絶縁膜を有する第1のゲート絶縁膜、および、メタル層を有する第1のゲート電極膜;
    (e)前記半導体チップの前記第1の主面側であって、前記第1のPチャネルMISFET領域内に設けられ、High−k絶縁膜を有する第2のゲート絶縁膜、および、メタル層を有する第2のゲート電極膜;
    (f)前記半導体チップの内部であって、前記第1のNチャネルMISFET領域に設けられたN型MISFETバックゲート不純物ドープ半導体領域;
    (g)前記半導体チップの内部であって、前記第1のPチャネルMISFET領域に設けられたP型MISFETバックゲート不純物ドープ半導体領域、
    ここで、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、基準電位および電源電位以外の電位にされている。
  2. 前記1項の半導体集積回路装置において、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、基準電位と電源電位の間の電位にされている。
  3. 前記2項の半導体集積回路装置において、更に以下を含む:
    (h)前記半導体チップの前記第1の主面に設けられたバルク領域;
    (i)前記バルク領域内に設けられた第2のNチャネルMISFET領域および第2のPチャネルMISFET領域;
    (j)前記半導体チップの前記第1の主面側であって、前記第2のNチャネルMISFET領域内に設けられた第3のゲート絶縁膜、および、メタル層を有する第3のゲート電極膜;
    (k)前記半導体チップの前記第1の主面側であって、前記第2のPチャネルMISFET領域内に設けられた第4のゲート絶縁膜、および、メタル層を有する第4のゲート電極膜。
  4. 前記3項の半導体集積回路装置において、前記第1のゲート絶縁膜、前記第2のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  5. 前記3項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第2のゲート絶縁膜、および前記第4のゲート絶縁膜はノンドープのHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  6. 前記3項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第2のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第3のゲート絶縁膜、および前記第4のゲート絶縁膜はHigh−k絶縁膜を有さず、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  7. 前記3項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第2のゲート絶縁膜、および前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  8. 前記7項の半導体集積回路装置において、前記第1のゲート絶縁膜および前記第2のゲート絶縁膜はノンドープのHigh−k絶縁膜を有し、前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  9. 以下を含む半導体集積回路装置:
    (a)第1の主面及び第2の主面を有する半導体チップ;
    (b)前記半導体チップの前記第1の主面に設けられたSOI領域;
    (c)前記SOI領域内に設けられた第1のNチャネルMISFETを有する第1のNチャネルMISFET領域、および第1のPチャネルMISFETを有する第1のPチャネルMISFET領域;
    (d)前記半導体チップの前記第1の主面側であって、前記第1のNチャネルMISFET領域内に設けられ、High−k絶縁膜を有する第1のゲート絶縁膜、および、メタル層を有する第1のゲート電極膜;
    (e)前記半導体チップの前記第1の主面側であって、前記第1のPチャネルMISFET領域内に設けられ、High−k絶縁膜を有する第2のゲート絶縁膜、および、メタル層を有する第2のゲート電極膜;
    (f)前記半導体チップの内部であって、前記第1のNチャネルMISFET領域に設けられたN型MISFETバックゲート不純物ドープ半導体領域;
    (g)前記半導体チップの内部であって、前記第1のPチャネルMISFET領域に設けられたP型MISFETバックゲート不純物ドープ半導体領域、
    ここで、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、前記第1のNチャネルMISFETまたは前記第1のPチャネルMISFETに対する閾値電圧調整領域である。
  10. 前記9項の半導体集積回路装置において、更に以下を含む:
    (h)前記半導体チップの前記第1の主面に設けられたバルク領域;
    (i)前記バルク領域内に設けられた第2のNチャネルMISFET領域および第2のPチャネルMISFET領域;
    (j)前記半導体チップの前記第1の主面側であって、前記第2のNチャネルMISFET領域内に設けられた第3のゲート絶縁膜、および、メタル層を有する第3のゲート電極膜;
    (k)前記半導体チップの前記第1の主面側であって、前記第2のPチャネルMISFET領域内に設けられた第4のゲート絶縁膜、および、メタル層を有する第4のゲート電極膜。
  11. 前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜、前記第2のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  12. 前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第2のゲート絶縁膜、および前記第4のゲート絶縁膜はノンドープのHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  13. 前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第2のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第3のゲート絶縁膜、および前記第4のゲート絶縁膜はHigh−k絶縁膜を有さず、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  14. 前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜、および前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第2のゲート絶縁膜、および前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  15. 前記10項の半導体集積回路装置において、前記第1のゲート絶縁膜および前記第2のゲート絶縁膜はノンドープのHigh−k絶縁膜を有し、前記第3のゲート絶縁膜はランタンがドープされたHigh−k絶縁膜を有し、前記第4のゲート絶縁膜はアルミニウムがドープされたHigh−k絶縁膜を有し、前記第1のゲート電極膜、前記第2のゲート電極膜、前記第3のゲート電極膜および前記第4のゲート電極膜は、同一積層構造を有する。
  16. 前記9項の半導体集積回路装置において、前記閾値電圧の調整は、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域の不純物濃度または不純物の導電型を変更することによって実行される。
  17. 前記9項の半導体集積回路装置において、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、基準電位および電源電位以外の電位にされている。
  18. 前記17項の半導体集積回路装置において、前記N型MISFETバックゲート不純物ドープ半導体領域または前記P型MISFETバックゲート不純物ドープ半導体領域は、基準電位と電源電位の間の電位にされている。
  19. 前記1項の半導体集積回路装置において、前記半導体集積回路装置は、ゲートファースト方式によるものである。
  20. 前記1項の半導体集積回路装置において、前記半導体集積回路装置は、ゲートラスト方式によるものである。
JP2010277993A 2010-12-14 2010-12-14 半導体集積回路装置およびその製造方法 Expired - Fee Related JP5661445B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2010277993A JP5661445B2 (ja) 2010-12-14 2010-12-14 半導体集積回路装置およびその製造方法
US13/311,294 US8558312B2 (en) 2010-12-14 2011-12-05 Semiconductor integrated circuit device having impurities introduced in back gate semiconductor regions
TW100145394A TWI627734B (zh) 2010-12-14 2011-12-08 Semiconductor integrated circuit and method of manufacturing same
KR1020110133651A KR101888687B1 (ko) 2010-12-14 2011-12-13 반도체 집적 회로 장치
CN201110416437.XA CN102569164B (zh) 2010-12-14 2011-12-14 半导体集成电路装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010277993A JP5661445B2 (ja) 2010-12-14 2010-12-14 半導体集積回路装置およびその製造方法

Publications (2)

Publication Number Publication Date
JP2012129292A true JP2012129292A (ja) 2012-07-05
JP5661445B2 JP5661445B2 (ja) 2015-01-28

Family

ID=46198494

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010277993A Expired - Fee Related JP5661445B2 (ja) 2010-12-14 2010-12-14 半導体集積回路装置およびその製造方法

Country Status (5)

Country Link
US (1) US8558312B2 (ja)
JP (1) JP5661445B2 (ja)
KR (1) KR101888687B1 (ja)
CN (1) CN102569164B (ja)
TW (1) TWI627734B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015053345A (ja) * 2013-09-05 2015-03-19 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2015198219A (ja) * 2014-04-03 2015-11-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
CN111383995A (zh) * 2018-12-26 2020-07-07 瑞萨电子株式会社 制造半导体器件的方法
JP2021064639A (ja) * 2019-10-10 2021-04-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2022044813A (ja) * 2016-07-14 2022-03-17 ハイペリオン セミコンダクターズ オサケユイチア 半導体論理素子および論理回路

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8536656B2 (en) * 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
JP5837387B2 (ja) 2011-10-11 2015-12-24 ルネサスエレクトロニクス株式会社 半導体集積回路装置および半導体集積回路装置の製造方法
JP5968708B2 (ja) 2012-01-23 2016-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
CN103681467B (zh) * 2012-09-20 2017-02-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
JP5646569B2 (ja) * 2012-09-26 2014-12-24 株式会社東芝 半導体装置
JP6024354B2 (ja) * 2012-10-02 2016-11-16 富士通セミコンダクター株式会社 半導体集積回路装置及びその製造方法
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
FR2999802A1 (fr) * 2012-12-14 2014-06-20 St Microelectronics Sa Cellule cmos realisee dans une technologie fd soi
CN103928331B (zh) * 2013-01-11 2016-08-10 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
KR102018101B1 (ko) * 2013-02-04 2019-11-14 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US8835244B2 (en) * 2013-02-21 2014-09-16 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits having metal gate electrodes
FR3003996B1 (fr) * 2013-03-28 2015-04-24 Commissariat Energie Atomique Procede de commande d'un circuit integre
KR20140122585A (ko) * 2013-04-10 2014-10-20 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN105225949B (zh) * 2014-05-26 2018-08-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US9391200B2 (en) 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9859279B2 (en) 2015-08-17 2018-01-02 International Business Machines Corporation High-k gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
US10720516B2 (en) 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack structure and method for forming the same
US10043826B1 (en) * 2017-07-26 2018-08-07 Qualcomm Incorporated Fully depleted silicon on insulator integration
US11348944B2 (en) * 2020-04-17 2022-05-31 Taiwan Semiconductor Manufacturing Company Limited Semiconductor wafer with devices having different top layer thicknesses
US11398403B2 (en) * 2020-05-28 2022-07-26 Taiwan Semiconductor Manufacturing Company Limited Multiple thickness semiconductor-on-insulator field effect transistors and methods of forming the same
EP4125118A1 (en) * 2021-07-30 2023-02-01 Infineon Technologies AG Electronic device and charge pump circuit

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002A (en) * 1849-01-02 Screw-wrench for grasping cylindrical forms
US7006A (en) * 1850-01-08 Chubh
US9011A (en) * 1852-06-15 Improvement
JPH04359470A (ja) * 1991-06-05 1992-12-11 Victor Co Of Japan Ltd Soi−cmosfet装置
US6043536A (en) * 1998-05-19 2000-03-28 Kabushiki Kaisha Toshiba Semiconductor device
JP2004006870A (ja) * 2003-05-14 2004-01-08 Toshiba Corp 半導体装置
JP2006049628A (ja) * 2004-08-05 2006-02-16 Toshiba Corp 半導体装置及びその製造方法
JP2007042730A (ja) * 2005-08-01 2007-02-15 Renesas Technology Corp 半導体装置およびそれを用いた半導体集積回路
JP2009117557A (ja) * 2007-11-05 2009-05-28 Toshiba Corp 相補型半導体装置及びその製造方法
JP2009135140A (ja) * 2007-11-28 2009-06-18 Renesas Technology Corp 半導体装置および半導体装置の制御方法
JP2009194352A (ja) * 2008-01-17 2009-08-27 Toshiba Corp 半導体装置の製造方法
JP2010147647A (ja) * 2008-12-17 2010-07-01 Hitachi Ltd 半導体装置
JP2010272596A (ja) * 2009-05-19 2010-12-02 Renesas Electronics Corp 半導体装置の製造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7592678B2 (en) * 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
FR2911721B1 (fr) * 2007-01-19 2009-05-01 St Microelectronics Crolles 2 Dispositif a mosfet sur soi
JP4939960B2 (ja) 2007-02-05 2012-05-30 株式会社東芝 半導体装置およびその製造方法
US8130547B2 (en) * 2007-11-29 2012-03-06 Zeno Semiconductor, Inc. Method of maintaining the state of semiconductor memory having electrically floating body transistor
US8673703B2 (en) * 2009-11-17 2014-03-18 International Business Machines Corporation Fabrication of graphene nanoelectronic devices on SOI structures
US8716800B2 (en) * 2010-12-31 2014-05-06 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for manufacturing the same
US8415743B2 (en) * 2011-05-24 2013-04-09 International Business Machines Corporation ETSOI CMOS with back gates

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002A (en) * 1849-01-02 Screw-wrench for grasping cylindrical forms
US7006A (en) * 1850-01-08 Chubh
US9011A (en) * 1852-06-15 Improvement
JPH04359470A (ja) * 1991-06-05 1992-12-11 Victor Co Of Japan Ltd Soi−cmosfet装置
US6043536A (en) * 1998-05-19 2000-03-28 Kabushiki Kaisha Toshiba Semiconductor device
JP2004006870A (ja) * 2003-05-14 2004-01-08 Toshiba Corp 半導体装置
JP2006049628A (ja) * 2004-08-05 2006-02-16 Toshiba Corp 半導体装置及びその製造方法
JP2007042730A (ja) * 2005-08-01 2007-02-15 Renesas Technology Corp 半導体装置およびそれを用いた半導体集積回路
JP2009117557A (ja) * 2007-11-05 2009-05-28 Toshiba Corp 相補型半導体装置及びその製造方法
JP2009135140A (ja) * 2007-11-28 2009-06-18 Renesas Technology Corp 半導体装置および半導体装置の制御方法
JP2009194352A (ja) * 2008-01-17 2009-08-27 Toshiba Corp 半導体装置の製造方法
JP2010147647A (ja) * 2008-12-17 2010-07-01 Hitachi Ltd 半導体装置
JP2010272596A (ja) * 2009-05-19 2010-12-02 Renesas Electronics Corp 半導体装置の製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015053345A (ja) * 2013-09-05 2015-03-19 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2015198219A (ja) * 2014-04-03 2015-11-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
JP2022044813A (ja) * 2016-07-14 2022-03-17 ハイペリオン セミコンダクターズ オサケユイチア 半導体論理素子および論理回路
CN111383995A (zh) * 2018-12-26 2020-07-07 瑞萨电子株式会社 制造半导体器件的方法
JP2020107678A (ja) * 2018-12-26 2020-07-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP7163175B2 (ja) 2018-12-26 2022-10-31 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2021064639A (ja) * 2019-10-10 2021-04-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP7292171B2 (ja) 2019-10-10 2023-06-16 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US20120146148A1 (en) 2012-06-14
TW201250995A (en) 2012-12-16
TWI627734B (zh) 2018-06-21
KR101888687B1 (ko) 2018-08-14
KR20120066599A (ko) 2012-06-22
US8558312B2 (en) 2013-10-15
CN102569164A (zh) 2012-07-11
CN102569164B (zh) 2016-04-20
JP5661445B2 (ja) 2015-01-28

Similar Documents

Publication Publication Date Title
JP5661445B2 (ja) 半導体集積回路装置およびその製造方法
US10263012B2 (en) Semiconductor integrated circuit device comprising MISFETs in SOI and bulk substrate regions
US10134731B2 (en) Dielectric liner added after contact etch before silicide formation
US8980706B2 (en) Double treatment on hard mask for gate N/P patterning
US8652955B2 (en) Manufacturing method of semiconductor integrated circuit device
US20110140203A1 (en) novel contact implement structure for high density design
JP2012209331A (ja) 半導体集積回路装置の製造方法
JP5852459B2 (ja) 半導体装置およびその製造方法
US9105578B2 (en) Interface for metal gate integration
US20170025526A1 (en) High-k and p-type work function metal first fabrication process having improved annealing process flows
US10998414B2 (en) Metal gate structure with multi-layer composition
US9287259B2 (en) Semiconductor integrated circuit device and method for manufacturing semiconductor integrated circuit device
KR20210122643A (ko) 최적화된 게이트 스페이서 및 게이트 단부 유전체를 갖는 게이트 올 어라운드 디바이스
JP2012129291A (ja) 半導体集積回路装置
TWI809447B (zh) 半導體結構及其形成方法
TWI662711B (zh) 半導體元件及其製作方法
US20210320061A1 (en) Contact formation method and related structure
JP2012209332A (ja) 半導体集積回路装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130917

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140814

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141015

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141113

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141203

R150 Certificate of patent or registration of utility model

Ref document number: 5661445

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees