TWI809447B - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TWI809447B
TWI809447B TW110125189A TW110125189A TWI809447B TW I809447 B TWI809447 B TW I809447B TW 110125189 A TW110125189 A TW 110125189A TW 110125189 A TW110125189 A TW 110125189A TW I809447 B TWI809447 B TW I809447B
Authority
TW
Taiwan
Prior art keywords
layer
gate
sacrificial
dielectric layer
fin structure
Prior art date
Application number
TW110125189A
Other languages
English (en)
Other versions
TW202238744A (zh
Inventor
陳奕升
李曉菁
李宜靜
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202238744A publication Critical patent/TW202238744A/zh
Application granted granted Critical
Publication of TWI809447B publication Critical patent/TWI809447B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • H01L21/3221Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of silicon bodies, e.g. for gettering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明實施例係關於一種半導體結構及一種用於形成一半導體結構之方法。移除一犧牲閘極層以形成暴露一犧牲介電層之一閘極溝槽。對由該閘極溝槽中之該犧牲介電層覆蓋之一基板之一部分執行一離子植入。移除該犧牲介電層以自該閘極溝槽暴露該基板。在該閘極溝槽中之該基板上方形成一界面層。在該閘極溝槽中之該界面層上方形成一金屬閘極結構。

Description

半導體結構及其形成方法
本發明實施例係有關半導體結構及其形成方法。
涉及半導體裝置之電子設備對諸多現代應用而言係必不可少的。材料及設計之技術進步產生了半導體裝置世代,其中各代包含比上一代更小且更複雜之電路。此按比例縮小程序亦增加處理及製造IC之複雜性,且為實現此等進步,需要類似地開發IC處理及製造。例如,已引入諸如一鰭式場效電晶體(FinFET)之三維電晶體來替換一平面電晶體。儘管既有FinFET裝置及製造FinFET裝置之方法一般已足以滿足其預期目的,但其未在所有方面完全令人滿意。例如,用一金屬閘極電極替換一多晶矽閘極電極給FinFET程序開發帶來挑戰。期望在此領域有所改良。
本發明的一實施例係關於一種方法,其包括:接收一基板,該基板包括放置於其上之一犧牲閘極結構,其中該犧牲閘極結構包括一犧牲閘極層及一犧牲介電層;移除該犧牲閘極層以形成暴露該犧牲介電層之一閘極溝槽;對由該閘極溝槽中之該犧牲介電層覆蓋之該基板之一部分執行一離子植入;移除該犧牲介電層以自該閘極溝槽暴露該基板;在該閘極溝槽中之該基板上方形成一界面層;及在該閘極溝槽中之該界面層上方形成一金屬閘極結構。
本發明的一實施例係關於一種方法,其包括:接收一半導體結構,該半導體結構包括橫越一鰭狀結構且放置於一對間隔件之間的一犧牲閘極結構,其中該犧牲閘極結構包括一犧牲閘極層及一犧牲介電層;移除該犧牲閘極層以暴露該對間隔件之間的該犧牲介電層;引入複數個摻雜劑以形成該鰭狀結構中之一摻雜區域及一對摻雜間隔件;自該鰭狀結構之一第二部分移除該犧牲介電層及該鰭狀結構之一第一部分;在該對摻雜間隔件之間的該鰭狀結構之該第二部分上方形成一界面層;及在該對摻雜間隔件之間的該界面層上方形成一金屬閘極結構。
本發明的一實施例係關於一種半導體結構,其包括:一半導體基板,其具有一鰭狀結構;一界面層,其位於該半導體基板上方,具有一凹形輪廓;及一金屬閘極結構,其位於該界面層上方,該金屬閘極結構包括一閘極介電層、一功函數金屬層及一間隙填充金屬層,其中沿實質上平行於該半導體基板之一上表面之一第一方向量測之該間隙填充金屬層之一厚度沿實質上垂直於該半導體基板之該上表面之一第二方向變動。
以下揭露提供用於實施所提供標的之不同特徵之諸多不同實施例或實例。下文將描述元件及配置之特定實例以簡化本揭露。當然,此等僅為實例且不意在限制。例如,在以下描述中,使一第一構件形成於一第二構件上方或一第二構件上可包含其中形成直接接觸之該第一構件及該第二構件之實施例,且亦可包含其中額外構件可形成於該第一構件與該第二構件之間使得該第一構件及該第二構件可不直接接觸之實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複係為了簡單及清楚且其本身不指示所討論之各種實施例及/或組態之間的一關係。
此外,為了方便描述,可在本文中使用空間相關術語(諸如「下面」、「下方」、「下」、「上方(above)」、「上方(over)」、「上」、「在...上」及其類似者)來描述一元件或構件與另一(些)元件或構件之關係,如圖中所繪示。除圖中所描繪之定向之外,空間相對術語亦意欲涵蓋裝置在使用或操作中之不同定向。可依其他方式定向設備(旋轉90度或依其他定向),且亦可因此解譯本文中所使用之空間相對描述詞。
如本文中所使用,諸如「第一」、「第二」及「第三」之術語描述各種元件、組件、區域、層及/或區段,此等元件、組件、區域、層及/或區段不應受限於此等術語。此等術語可僅用於使元件、組件、區域、層或區段彼此區分。除非內文清楚指示,否則本文中所使用之諸如「第一」、「第二」及「第三」之術語不隱含一序列或順序。
如本文中所使用,術語「近似」、「實質上」、「實質」及「約」用於描述及考量小變動。當結合一事件或情形使用時,術語可涉及其中精確發生該事件或情形之例項及其中緊密接近地發生該事件或情形之例項。
可藉由任何適合方法來圖案化鰭片。例如,可使用包含雙重圖案化或多重圖案化程序之一或多個光微影程序來圖案化鰭片。一般而言,雙重圖案化或多重圖案化程序組合光微影及自對準程序以允許產生具有(例如)小於原本可使用一單一直接光微影程序獲得之節距之節距之圖案。例如,在一實施例中,在一基板上方形成及使用一光微影程序圖案化一犧牲層。使用一自對準程序沿圖案化犧牲層形成間隔件。接著移除犧牲層,且接著使用剩餘間隔件來圖案化鰭片。
隨著技術節點達成不斷縮小尺度,在一些積體電路(IC)設計中,研究人員希望用一金屬閘極替換多晶矽閘極以藉由減小特徵大小來提高裝置效能。形成金屬閘極之一方法稱為「後閘極(gate-last)」方法,有時指稱替換多晶矽閘極(RPG)方法。在一RPG方法中,最後製造金屬閘極,其允許減少後續操作數目。然而,RPG方法係一複雜方法且引起諸多問題。
例如,就一後高k金屬閘極(HKMG)操作而言,需要移除多晶矽閘極(亦指稱虛設閘極或犧牲閘極)以用所要金屬閘極替換多晶矽閘極之一操作。在移除多晶矽閘極期間,在一些實施例中,不僅移除多晶矽閘極,且亦消耗相鄰於多晶矽閘極之間隔件,且因此會增大閘極溝槽之大小。隨後,要在移除多晶矽閘極之後執行氧化物清潔操作。在氧化物清潔操作期間,會消耗墊介電層。在一些實施例中,不僅消耗墊介電層,且亦在氧化物清潔操作期間蝕刻相鄰於墊介電層之間隔件,且因此會增大閘極溝槽之大小。閘極溝槽可歸因於蝕刻間隔件而具有不均勻側壁。閘極溝槽可填充有用於形成金屬閘極之材料。金屬閘極可具有延伸至蝕刻間隔件中之突起。金屬閘極之突起(稱為金屬閘極之「基腳」)會導致閘極長度不均勻性問題。因此,會降低半導體結構之裝置效能。
因此,提供用於形成一半導體結構之一方法之實施例。根據實施例,在一RPG或後閘極程序中形成半導體結構。根據一些實施例,可在一平面裝置程序中形成半導體結構。在替代實施例中,可在一非平面裝置中形成半導體結構。在一些實施例中,用於形成半導體結構之方法包含對墊介電層下方之基板引入一表面處理。表面處理可促進形成基板之一彎曲上表面。基板之彎曲上表面可產生用於形成金屬閘極之材料之一收縮空間。因此,形成於其上之金屬閘極可具有較小基腳或可實質上沒有基腳。此外,形成於其上之金屬閘極可具有一較短閘極長度。簡言之,用於形成半導體結構之方法緩解閘極長度不均勻性問題,且因此提高半導體結構之裝置效能。
圖1係表示一或多個實施例中根據本發明之態樣之用於形成一半導體結構20之一方法10的一流程圖。用於形成半導體結構20之方法10包含一操作102,其中接收一基板。在一些實施例中,基板包含放置於其上之一犧牲閘極結構。在一些實施例中,基板包含鰭狀結構,且犧牲閘極結構橫跨鰭狀結構放置。在一些實施例中,犧牲閘極結構包含一犧牲閘極層及一犧牲介電層。方法10進一步包含一操作104,其中移除犧牲閘極層以形成暴露犧牲介電層之一閘極溝槽。方法10進一步包含一操作106,其中對由閘極溝槽中之犧牲介電層覆蓋之基板之一部分執行一離子植入。方法10進一步包含一操作108,其中移除犧牲介電層以自閘極溝槽暴露基板。方法10進一步包含一操作110,其中在閘極溝槽中之基板上方形成一界面層。方法10進一步包含一操作112,其中在閘極溝槽中之界面層上方形成一金屬閘極結構。
圖2係繪示一或多個實施例中根據本發明之態樣所建構之一製造階段中之一半導體結構20的一示意圖。如圖2中所展示,根據操作102來接收一基板202。基板202可為諸如一矽晶圓之一半導體晶圓。替代地或另外,基板202可包含元素半導體材料、化合物半導體材料或合金半導體材料。元素半導體材料之實例可為(例如但不限於)單晶矽、多晶矽、非晶矽、鍺(Ge)及/或金剛石。化合物半導體材料之實例可為(例如但不限於)碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb)。合金半導體材料之實例可為(例如但不限於) SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP。
基板202可包含本技術中已知之取決於設計要求之各種摻雜組態。例如,可在針對不同裝置類型(例如n型場效電晶體(NFET)、p型場效電晶體(PFET))設計之區域中之基板202上形成不同摻雜輪廓(例如n井、p井)。適合摻雜可包含摻雜劑之離子植入及/或擴散程序。基板202具有一n型區域202N及一p型區域202P。n型區域202N可用於形成諸如NMOS電晶體(例如NFET)之n型裝置。p型區域202P可用於形成諸如PMOS電晶體(例如PFET)之p型裝置。n型區域202N可與p型區域202P實體分離,且任何數目個裝置特徵(例如其他主動裝置、摻雜區域、隔離結構等等)可放置於n型區域202N與p型區域202P之間。基板202通常具有插入含有不同裝置類型之區域之隔離結構(例如淺溝槽隔離(STI)結構)204。
在一些實施例中,基板202可包含藉由隔離結構204來彼此電隔離之鰭狀結構206。在一些實施例中,鰭狀結構206沿一第一方向D1延伸。在一些實施例中,鰭狀結構206具有自約30奈米至約65奈米之範圍內之一鰭片高度。鰭狀結構206具有放置於n型區域202N中之鰭狀結構206N及放置於p型區域202P中之鰭狀結構206P。在各種實施例中,鰭狀結構206之上部分可由矽鍺(SiGe)、碳化矽、純鍺或實質上純鍺、III至V族化合物半導體、II至VI族化合物半導體或其類似者形成。例如,可用於形成III至V族化合物半導體之材料包含(但不限於)砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化銦鎵、砷化鋁銦、銻化鎵、銻化鋁、磷化鋁、磷化鎵及其類似者。在一些實施例中,鰭狀結構206P之上部分可由矽鍺(SiGe)形成,而鰭狀結構206N之上部分可由類似於基板202之材料之材料形成。
在一些實施例中,一半導體層(其可在後續操作中充當一犧牲閘極層209)形成於基板202上方。在一些實施例中,一介電層(其可在後續操作中充當一犧牲介電層208)可在形成半導體層之前形成。在一些實施例中,半導體層由多晶矽製成,但本揭露不限於此。在一些實施例中,介電層包括氧化矽(SiO),但本揭露不限於此。介電層可經形成以覆蓋鰭狀結構206之側壁及鰭狀結構206之一頂面。在一些實施例中,介電層由一熱氧化操作形成。在此等實施例中,介電層形成於鰭狀結構206上方,同時暴露隔離結構204之上表面。
半導體層及介電層經圖案化以形成一犧牲閘極結構210,如圖2中所展示。犧牲閘極結構210包含一犧牲閘極層209及一犧牲介電層208。犧牲閘極結構210橫跨鰭狀結構206放置。在一些實施例中,一圖案化硬遮罩213可形成於半導體層上方用於界定犧牲閘極結構210之一位置及一尺寸。在一些實施例中,圖案化硬遮罩213可包含氮化矽(SiN),但本揭露不限於此。圖案化硬遮罩213可包含一單層結構或一多層結構。例如,圖案化硬遮罩213可為圖2中所展示之一雙層結構,但本揭露不限於此。在一些實施例中,雙層圖案化硬遮罩213可包含一第一圖案化層213a及一第二圖案化層213b。第一圖案化層213a及第二圖案化層213b可包含一相同材料或不同材料,其取決於不同實施方案。此外,第一圖案化層213a及第二圖案化層213b之厚度可不同。例如,第一圖案化層213a之厚度可小於第二圖案化層213b之厚度。
犧牲閘極結構210沿不同於第一方向D1之一第二方向D2延伸。例如,第二方向D2可垂直於第一方向D1。另外,第一方向D1及第二方向D2在相同水平面中。犧牲閘極結構210覆蓋鰭狀結構206之一部分,如圖2中所展示。換言之,犧牲閘極結構210至少部分放置於鰭狀結構206上方,且下伏於犧牲閘極結構210之鰭狀結構206之部分可指稱通道區域。犧牲閘極結構210亦可將鰭狀結構206之一源極/汲極區域界定為(例如)相鄰於通道區域且在通道區域之對置側上之鰭狀結構206之部分。
圖3至圖6係繪示一或多個實施例中根據本發明之態樣所建構之不同製造階段中之半導體結構20的剖面圖。此外,圖3至圖6係沿類似於圖2中之參考剖面I-I (n型區域202N)及參考剖面II-II (p型區域202P)之一剖面繪示之剖面圖。參考圖3,間隔件212形成於犧牲閘極結構210之側壁上方。犧牲閘極結構210可放置於一對間隔件212之間。間隔件212可藉由保形地沈積一或多個絕緣材料及隨後蝕刻該(等)絕緣材料來形成。該(等)絕緣材料可由諸如氧化矽、氮化矽、碳氮化矽、氧碳氮化矽、其等之組合或其類似者之低k介電材料形成,其可由諸如化學氣相沈積(CVD)、電漿增強化學氣相沈積(PECVD)、原子層沈積(ALD)或其類似者之一保形沈積程序形成。該(等)絕緣材料在被蝕刻時部分留在犧牲閘極結構210及圖案化硬遮罩213之側壁上(因此形成間隔件212)。在蝕刻之後,間隔件212可具有筆直側壁(如圖中所繪示)或可具有彎曲側壁(圖中未繪示)。
參考圖4,源極/汲極(S/D)結構218形成於鰭狀結構206中。S/D結構218形成於鰭狀結構206中,使得各犧牲閘極結構210放置於S/D結構218之各自相鄰對之間。在一些實施例中,S/D結構218可延伸至鰭狀結構206中且亦可穿透鰭狀結構206。在一些實施例中,S/D結構218係應變S/D結構。在此等實施例中,可移除自犧牲閘極結構210暴露之鰭狀結構206之部分,藉此可獲得複數個凹槽。可執行一磊晶生長操作以在鰭狀結構206之凹槽中形成一應變材料。在一些實施例中,S/D結構218之頂面可高於鰭狀結構206之頂面。在一些實施例中,在形成源極/汲極(S/D)結構218之前執行一回蝕操作。可執行回蝕操作以蝕刻間隔件212之一部分,使得間隔件212之間用於形成源極/汲極(S/D)結構218之開口212O擴大。
S/D結構218之一材料可經選擇以在各自通道區域中施加應力。在一些實施例中,S/D結構218之一晶格常數可不同於基板202之一晶格常數及鰭狀結構206之一晶格常數。在一些實施例中,S/D結構218可包含Ge、SiGe、InAs、InGaAs、InSb、GaSb、InAlP、InP或其等之一組合,但本揭露不限於此。在一些實施例中,間隔件212用於使S/D結構218與犧牲閘極結構210分離一適當橫向距離,使得S/D結構218不使所得FinFET之隨後形成閘極短路。
參考圖5,一介電材料層220形成於基板202上方。介電材料層220可沈積於S/D結構218、間隔件212、隔離結構204及圖案化硬遮罩213 (若存在)或犧牲閘極結構210上方。介電材料層220可由一介電材料形成,且可由諸如CVD、電漿增強CVD (PECVD)或FCVD之任何適合方法沈積。可接受之介電材料可包含磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼摻雜磷矽酸鹽玻璃(BPSG)、未摻雜矽酸鹽玻璃(USG)或其類似者。可使用由任何可接受程序形成之其他絕緣材料。在一些實施例中,介電材料層220可指稱一層間介電質(ILD)。
替代地或另外,一接觸蝕刻停止層(CESL) 222在形成介電材料層220之前形成於基板202上方。CESL 222可沈積於S/D結構218、間隔件212、隔離結構204及圖案化硬遮罩213 (若存在)或犧牲閘極結構210上方。CESL 222可形成於介電材料層220與S/D結構218、間隔件212、隔離結構204及圖案化硬遮罩213 (若存在)或犧牲閘極結構210之間。CESL 222可包括具有低於介電材料層220之材料之一蝕刻速率之一介電材料,諸如氮化矽、氧化矽、氮氧化矽或其類似者。
參考圖6,可執行諸如一化學機械平坦化(CMP)操作之一平坦化程序以使介電材料層220之一頂面與圖案化硬遮罩213 (若存在)之一頂面或犧牲閘極結構210之一頂面齊平。平坦化程序亦可移除犧牲閘極結構210上之圖案化硬遮罩213及沿圖案化硬遮罩213之側壁之間隔件212之部分。在平坦化程序之後,形成包含介電材料層220及CESL 222之一介電結構230。在平坦化程序之後,犧牲閘極結構210、間隔件212及介電結構230之頂面係共面的(在程序變動內)。因此,犧牲閘極結構210之犧牲閘極層209之頂面透過介電結構230暴露。在一些實施例中,介電結構230具有鰭狀結構206上方之一厚度,其中介電結構230之厚度在自約30奈米至約65奈米之範圍內。
在一些實施例中,犧牲閘極結構210可藉由操作104至112中所描述之操作用一金屬閘極結構250替換,但本揭露不限於此。
圖7係繪示一或多個實施例中根據本發明之態樣所建構之一製造階段中之半導體結構20的一示意圖。此外,圖8A係沿類似於圖7中之參考剖面A-A (n型區域202N)及參考剖面B-B (p型區域202P)之一剖面繪示之一剖面圖,且圖8B係沿類似於圖7中之參考剖面C-C之一剖面繪示之一剖面圖。參考圖7、圖8A及圖8B,根據操作104,移除犧牲閘極層209以形成暴露犧牲介電層208之一閘極溝槽210H。在一些實施例中,如圖7中所展示,閘極溝槽210H進一步暴露隔離結構204。在一些實施例中,如圖8B中所展示,由犧牲介電層208覆蓋之鰭狀結構206自閘極溝槽210H之底部突出。
參考圖8B,閘極溝槽210H可由沿剖面C-C之絕緣結構214界定。在一些實施例中,閘極溝槽210H由介電結構230及間隔件212包圍。在此等實施例中,絕緣結構214可為介電結構230或間隔件212。在一些實施例中,介電結構230、間隔件212及其他結構(即,一切割多晶矽(CPO)結構)可統稱為絕緣結構214。在一些實施例中,沿剖面C-C之絕緣結構214可充當用於界定犧牲閘極結構210之一位置及一尺寸之一圖案。在一些實施例中,絕緣結構214可包含介電材料。
在一些實施例中,當形成一非平面裝置時,藉由圖9、圖10A、圖10B及圖11中所描述之操作來對犧牲介電層208 (若存在)下方之鰭狀結構206引入諸如離子植入900 (下文將更詳細討論)之一表面處理,但本揭露不限於此。在其他實施例中,當形成一平面裝置時,藉由類似於圖9、圖10A、圖10B及圖11中所描述之操作之操作來對犧牲介電層208 (若存在)下方之基板202引入諸如離子植入900之一表面處理。
圖9係繪示一或多個實施例中根據本發明之態樣所建構之一製造階段中之半導體結構20的一示意圖。圖10A係沿類似於圖9中之參考剖面A-A (n型區域202N)及參考剖面B-B (p型區域202P)之一剖面繪示之一剖面圖,且圖10B係沿類似於圖9中之參考剖面C-C之一剖面繪示之一剖面圖。此外,圖11係繪示一或多個實施例中根據本發明之態樣所建構之一製造階段中之半導體結構20的一俯視圖。
參考圖9、圖10A及圖10B,根據操作106,對由閘極溝槽210H中之犧牲介電層208 (若存在)覆蓋之鰭狀結構206之一部分執行一離子植入900。在一些實施例中,離子植入900可指稱一表面處理,其在閘極溝槽210H中之犧牲介電層208 (若存在)下方之鰭狀結構206上方執行。在一些實施例中,當形成一平面裝置時,在基板202上方執行離子植入900。在一些實施例中,在移除犧牲介電層208之前執行離子植入900。在一些實施例中,當在移除犧牲介電層208之前執行離子植入900時,犧牲介電層208經組態為用於減輕離子植入900之轟擊能量之一緩衝層。在替代實施例中,可在移除犧牲介電層208之後執行離子植入900。在此等實施例中,可直接對鰭狀結構206或基板202執行離子植入900。
離子植入900之能量應較小或在一範圍內,使得離子植入900不會損壞犧牲介電層208下方之鰭狀結構206之通道區域。在一些實施例中,離子植入900之一能量在自約0.1 keV至約2 keV之範圍內。在一些實施例中,若離子植入900之能量大於2 keV,則離子植入900之摻雜劑可穿透鰭狀結構206之通道區域。在此等實施例中,犧牲介電層208下方之鰭狀結構206會遭受嚴重損壞。因此,會降低半導體結構20之裝置效能。在一些實施例中,若離子植入900之能量低於0.1 keV,則離子植入900之摻雜劑可能無法到達犧牲介電層208下方之鰭狀結構206。在此等實施例中,鰭狀結構206上方之表面處理可能不足以解決閘極長度不均勻性問題。
在一些實施例中,離子植入900包含氟化處理程序或氟離子植入。在一些實施例中,氟化處理程序之一氣體源包含氟化硼(BF 2)。在一些實施例中,氟化硼之一劑量範圍在自約5×10 14個離子/cm 2至約5×10 15個離子/cm 2之範圍內。在一些實施例中,氟化硼之一能量在自約0.1 keV至約1.5 keV之範圍內。在一些實施例中,氟化硼之一操作溫度在自約0攝氏度至約50攝氏度之範圍內。在一些實施例中,氟化硼之操作溫度約為室溫。在一些實施例中,氟化處理程序之一氣體源包含氟化矽(SiF 3)。在一些實施例中,氟化矽之一劑量範圍在自約1×10 14個離子/cm 2至約2×10 15個離子/cm 2之範圍內。在一些實施例中,氟化矽之一能量在自約0.5 keV至約2 keV之範圍內。在一些實施例中,氟化矽之一操作溫度在自約100攝氏度至約200攝氏度之範圍內。在一些實施例中,氟化矽之操作溫度係約150攝氏度。
離子植入900可經組態以破壞鰭狀結構206之原子之間的鍵合。換言之,離子植入900之摻雜劑可破壞鰭狀結構206之原子之間的鍵合。例如,離子植入900之氟摻雜劑可破壞鰭狀結構206之矽原子之間的鍵合。在離子植入900之處理之後,鰭狀結構206之原子可具有懸空鍵。在一些實施例中,來自離子植入900之摻雜劑可與具有懸空鍵之鰭狀結構206之原子配對。例如,離子植入900之氟摻雜劑可與具有懸空鍵之鰭狀結構206之矽原子配對。可在鰭狀結構206與犧牲介電層208之間形成具有式Si xF y之氟化矽化合物。在一些實施例中,消耗鰭狀結構206之至少一部分以形成氟化矽化合物。在一些實施例中,具有懸空鍵之鰭狀結構206之原子之至少一部分不與來自離子植入900之摻雜劑配對。在其他實施例中,當形成一平面裝置時,離子植入900可經組態以破壞基板202之原子之間的一鍵合。在此等實施例中,在離子植入900之處理之後,基板202之原子可具有懸空鍵。
參考圖10A,在一些實施例中,離子植入900可經組態以在鰭狀結構206中形成一摻雜區域206F。在一些實施例中,由離子植入900引入之摻雜劑(即,氟摻雜劑)在鰭狀結構206中形成摻雜區域206F。在一些實施例中,鰭狀結構206之摻雜區域206F中之氟摻雜劑之濃度可實質上恆定。在一些其他實施例中,摻雜區域206F中之氟摻雜劑之濃度可沿一深度方向變動。舉例而言,摻雜區域206F中之氟摻雜劑之濃度可沿深度方向自遠離基板202之一上表面增大至接近基板202之一底面。摻雜區域206F中之氟摻雜劑之濃度可沿深度方向自上表面減小至底面。在一些實施例中,摻雜區域206F中之氟摻雜劑之濃度可依一連續方式或依一多階段方式沿深度方向變動。
在一些實施例中,亦可在離子植入900期間將摻雜劑(即,氟摻雜劑)引入至間隔件212。在一些實施例中,離子植入900可經組態以形成摻雜間隔件212。在一些實施例中,在離子植入900之後,間隔件212之一頂部部分可包含氟摻雜劑。在一些實施例中,間隔件212之頂部部分中之氟摻雜劑之濃度可實質上恆定。在一些其他實施例中,間隔件212中之氟摻雜劑之濃度可沿深度方向變動。在一些實施例中,間隔件212之頂部部分中之氟摻雜劑之濃度大於間隔件212之側壁部分中之氟摻雜劑之濃度。在一些實施例中,間隔件212中之氟摻雜劑可促進減小間隔件212之k值(介電常數)。在一些實施例中,在離子植入900之後,間隔件212包含一減小k值。換言之,摻雜間隔件212可具有一減小介電常數。在一些實施例中,間隔件212之k值可下降約3%至約5%。在一些實施例中,間隔件212中之氟摻雜劑之濃度實質上小於摻雜區域206F中之氟摻雜劑之濃度。
在一些實施例中,亦可在離子植入900期間將摻雜劑引入至介電結構230。在一些實施例中,在離子植入900之後,介電結構230之一頂部部分可包含氟摻雜劑。在一些實施例中,離子植入900可經組態以形成一摻雜介電結構230。在一些實施例中,介電結構230之頂部部分中之氟摻雜劑之濃度可實質上恆定。在一些其他實施例中,介電結構230中之氟摻雜劑之濃度可沿深度方向變動。在一些實施例中,介電結構230之頂部部分中之氟摻雜劑之濃度可實質上相同於間隔件212之頂部部分中之氟摻雜劑之濃度。在一些實施例中,介電結構230之頂部部分中之氟摻雜劑之濃度可實質上相同於鰭狀結構206之摻雜區域206F中之氟摻雜劑之濃度。
仍參考圖10A,鰭狀結構206之一中心區域206C及包圍中心區域206C之鰭狀結構206之一周邊區域206A可經歷不同程度之離子植入900。例如,由於鰭狀結構206之周邊區域206A相鄰於間隔件212,所以歸因於屏蔽效應,較少氟摻雜劑能夠到達鰭狀結構206之周邊區域206A。相比而言,鰭狀結構206之中心區域206C未由間隔件212屏蔽,因此,鰭狀結構206之中心區域206C可遭受更多離子植入900。在一些實施例中,更多氟化矽化合物可形成於鰭狀結構206之中心區域206C中且更少氟化矽化合物可形成於鰭狀結構206之周邊區域206A中。在一些實施例中,消耗鰭狀結構206之中心區域206C中之更多矽原子以形成氟化矽化合物,且消耗鰭狀結構206之周邊區域206A中之更少矽原子以形成氟化矽化合物。在一些實施例中,由於源極/汲極(S/D)結構218受介電結構230保護,所以離子植入900對源極/汲極(S/D)結構218之影響實質上很小。
參考圖10B,鰭狀結構206之側壁及頂面可實質上經歷一相同程度之離子植入900,因為在參考剖面C-C中,鰭狀結構206未由間隔件212或絕緣結構214屏蔽。換言之,可將相等數目個氟摻雜劑引入至鰭狀結構206之側壁及頂面。在一些實施例中,形成於鰭狀結構206之側壁上之氟化矽化合物之量可實質上等於形成於鰭狀結構206之頂面上之氟化矽化合物之量。在一些實施例中,鰭狀結構206之側壁上經消耗以形成氟化矽化合物之矽原子之數目與鰭狀結構206之頂面上經消耗以形成氟化矽化合物之矽原子之數目實質上相同。在一些其他實施例中,鰭狀結構206之側壁及頂面可經歷不同程度之離子植入900。在此等實施例中,鰭狀結構206之頂部部分中之氟摻雜劑之濃度可大於鰭狀結構206之側壁部分中之氟摻雜劑之濃度。在一些實施例中,鰭狀結構206之頂部部分中之氟摻雜劑之濃度實質上相同於介電結構230中之氟摻雜劑之濃度。在一些實施例中,鰭狀結構206之側壁部分中之氟摻雜劑之濃度實質上相同於間隔件212中之氟摻雜劑之濃度。
在一些實施例中,亦可在離子植入900期間將摻雜劑引入至絕緣結構214。在一些實施例中,在離子植入900之後,絕緣結構214可包含氟摻雜劑。在一些實施例中,離子植入900可經組態以形成一摻雜絕緣結構214。在一些實施例中,絕緣結構214中之氟摻雜劑之濃度可實質上恆定。在一些其他實施例中,絕緣結構214中之氟摻雜劑之濃度可沿深度方向變動。在一些實施例中,絕緣結構214中之氟摻雜劑之濃度可實質上相同於間隔件212中之氟摻雜劑之濃度。在一些實施例中,鰭狀結構206之側壁部分中之氟摻雜劑之濃度實質上相同於絕緣結構214中之氟摻雜劑之濃度。
參考圖11,離子植入900可具有不同入射角,其取決於不同實施方案。例如,以鰭狀結構206之中心為原點,且可參考八個羅盤方向(即,0度、45度、90度、135度、180度、225度、270度及315度)對鰭狀結構206執行離子植入900。在一些實施例中,如區域900A中所展示,自0度之一方向及180度之一方向對鰭狀結構206N (206)執行離子植入900。在一些實施例中,如區域900B中所展示,自90度之一方向及270度之一方向對鰭狀結構206N (206)執行離子植入900。在一些實施例中,如區域900C中所展示,自45度之方向、135度之一方向、225度之一方向及315度之一方向對鰭狀結構206N (206)執行離子植入900。在一些實施例中,如區域900D中所展示,自0度之一方向、90度之一方向、180度之一方向及270度之一方向對鰭狀結構206執行離子植入900。
圖12A至圖15B繪示一或多個實施例中根據本發明之態樣所建構之各種製造階段中之半導體結構20。此外,圖12A、圖13A、圖14A及圖15A係沿類似於圖9中之參考剖面A-A (n型區域202N)及參考剖面B-B (p型區域202P)之一剖面繪示之剖面圖。圖12B、圖13B、圖14B及圖15B係沿類似於圖9中之參考剖面C-C之一剖面繪示之剖面圖。
參考圖12A,移除犧牲介電層208。在一些實施例中,根據操作108,移除犧牲介電層208以自閘極溝槽210H暴露基板202之鰭狀結構206。在一些實施例中,自鰭狀結構206移除犧牲介電層208可形成鰭狀結構206之一彎曲上表面206U。由於離子植入900可在鰭狀結構206與犧牲介電層208之間形成氟化矽化合物,所以氟化矽化合物可在移除犧牲介電層208時一起移除以留下鰭狀結構206之彎曲上表面206U。換言之,離子植入900之表面處理可促進形成鰭狀結構206之彎曲上表面206U。鰭狀結構206之彎曲上表面206U可產生用於隨後形成金屬閘極結構之材料之一收縮空間。在一些實施例中,在移除犧牲介電層208之後,鰭狀結構206之摻雜區域206F之至少一部分留在鰭狀結構206中。在一些實施例中,在移除犧牲介電層208之後,犧牲介電層208之至少一部分留在鰭狀結構206之一側壁表面、間隔件212之一側壁表面與隔離結構204之一上表面之間產生之一隅角處。
參考圖12B,移除犧牲介電層208可形成一收縮鰭狀結構206。例如,由於離子植入900可在鰭狀結構206之側壁及頂面上方形成氟化矽化合物,所以氟化矽化合物可在移除犧牲介電層208時一起移除以留下一收縮鰭狀結構206。
參考圖13A,根據操作110,在閘極溝槽210H中之基板202之鰭狀結構206上方形成一界面層(IL) 240。在一些實施例中,IL 240覆蓋閘極溝槽210H中之鰭狀結構206之部分。在一些實施例中,IL 240可僅覆蓋鰭狀結構206,而間隔件212或介電結構230未由IL 240覆蓋。在一些實施例中,IL 240保形地形成於鰭狀結構206上方。因此,IL 240可具有類似於鰭狀結構206之彎曲上表面206U之形狀之一彎曲頂面。參考圖13B,IL 240可覆蓋鰭狀結構206之頂面及側壁。
在一些實施例中,IL 240由一化學氧化形成。在一些實施例中,IL 240由一濕式氧化形成。IL 240可藉由迫使氧化劑擴散至鰭狀結構206中且與鰭狀結構206反應來形成。在一些實施例中,IL 240併入自鰭狀結構206消耗之矽及自環境或氧化劑供應之氧。在一些實施例中,IL 240向下生長至鰭狀結構206中且向上生長出鰭狀結構206。IL 240可包含諸如SiO或SiON之一含氧化物材料。在一些實施例中,IL 240藉由使具有懸空鍵之鰭狀結構206之矽原子與氧原子配對來形成。氧化劑之實例可為(例如但不限於) H 3PO 4、NH 4OH、HCl、H 2O 2及/或O 3
在一些其他實施例中,IL 240可由諸如化學氣相沈積(CVD)、原子層沈積(ALD)或其類似者之一沈積程序形成。IL 240可由諸如氧化矽之含氧化物材料形成,但不限於此。在此等實施例中,可形成IL 240來覆蓋鰭狀結構206、間隔件212、介電結構230及絕緣結構214。在一些實施例中,IL 240保形地形成於鰭狀結構206、間隔件212、介電結構230及絕緣結構214上方。IL 240存在於鰭狀結構206、間隔件212、介電結構230及絕緣結構214上方可產生用於隨後形成金屬閘極結構之材料之一收縮空間。例如,由於IL 240形成於間隔件212之側壁上方,所以鰭狀結構206上方之間隔件212之間的空間減小。此外,隔離結構204上方之間隔件212之間的空間亦減小。因此,隨後形成之金屬閘極結構在鰭狀結構206上方可具有較小基腳或可實質上沒有基腳。此外,隨後形成之金屬閘極結構在隔離結構204上方可具有較小基腳或可實質上沒有基腳。
在一些實施例中,當犧牲介電層208之至少一部分留在鰭狀結構206之側壁表面、間隔件212之側壁表面與隔離結構204之上表面之間的一隅角處時,IL 240亦可形成於犧牲介電層208之部分上方。因此,犧牲介電層208之部分可插入鰭狀結構206、間隔件212、隔離結構204與IL 240之間。
在一些實施例中,如圖13A中所展示,IL 240之一厚度240T可沿實質上垂直於基板202之上表面202T之一方向獲得一致的測量結果。在一些實施例中,IL 240之厚度可在約10.5埃至約11.5埃之範圍內。在一些比較實施例中,當省略操作106時,IL之厚度可為約10埃。離子植入900可促進形成鰭狀結構206之懸空鍵。因此,歸因於透過執行離子植入900來增加鰭狀結構206之懸空鍵,本實施例之IL 240之厚度可比比較實施例增大。
在一些實施例中,IL 240之彎曲上表面可產生用於形成金屬閘極結構250之材料之一收縮空間。因此,隨後形成之金屬閘極結構250可具有較小基腳或可實質上沒有基腳。因此,用於形成半導體結構20之方法10緩解閘極長度不均勻性問題,且可提高半導體結構20之裝置效能。
在一些實施例中,根據操作112,在閘極溝槽210H中之IL 240上方形成金屬閘極結構250。在一些實施例中,一金屬閘極結構250由圖14A、圖14B、圖15A及圖15B中所描述之操作形成,但本揭露不限於此。金屬閘極結構250可具有放置於n型區域202N中之一金屬閘極結構250N及放置於p型區域202P中之一金屬閘極結構250P。
參考圖14A及圖14B,形成金屬閘極結構250進一步包含在閘極溝槽210H中之IL 240上方形成一閘極介電層252。在一些實施例中,閘極介電層252可包含一單層結構或一多層結構。例如,閘極介電層252可為圖14A及圖14B中所展示之一雙層結構,但本揭露不限於此。在一些實施例中,雙層閘極介電層252可包含一第一高k介電層252a及一第二高k介電層252b。第一高k介電層252a及第二高k介電層252b可包含具有(例如)大於熱氧化矽之介電常數(約3.9)之一高介電常數之高k介電材料。高k介電材料可包含氧化鉿(HfO 2)、氧化鋯(ZrO 2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鈦(TiO 2)、氧化釔(Y 2O 3)、鈦酸鍶(SrTiO 3)、氮氧化鉿(HfO xN y)、其他適合金屬氧化物或其等之組合。第一高k介電層252a及第二高k介電層252b可包含不同高k介電材料。例如,第一高k介電層252a可包含氧化鉿,而第二高k介電層252b可包含氧化鋁及氧化鑭。在一些實施例中,閘極介電層252保形地形成於IL 240上方。因此,閘極介電層252可具有類似於IL 240之彎曲頂面之形狀之一彎曲頂面。在一些實施例中,閘極介電層252之一厚度沿實質上垂直於基板202之上表面202T之一方向量測結果係一致。
仍參考圖14A及圖14B,形成金屬閘極結構250進一步包含在閘極溝槽210H中之閘極介電層252上方形成功函數金屬層254及256。在一些實施例中,在形成閘極介電層252之後,在p型區域202P中之閘極介電層252上形成功函數金屬層254,且在n型區域202N中之閘極介電層上形成功函數金屬層256。功函數金屬層254及功函數金屬層256可包含一單層結構或一多層結構。針對p型區域202P,功函數金屬層254可包含TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC或Co之一單層或此等材料之兩者或更多者之一多層,但不限於此。針對n型區域202N,功函數金屬層256可包含TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi或TaSi之一單層或此等材料之兩者或更多者之一多層,但不限於此。在一些實施例中,功函數金屬層254及256分別依序形成於p型區域202P及n型區域202N中之閘極介電層252上方。在一些實施例中,功函數金屬層254及256分別同時形成於p型區域202P及n型區域202N中之閘極介電層252上方。在一些實施例中,功函數金屬層254及256保形地形成於閘極介電層252上方。因此,功函數金屬層254及256可具有類似於閘極介電層252之彎曲頂面之形狀之彎曲頂面。在一些實施例中,功函數金屬層254之一厚度沿實質上垂直於基板202之上表面202T之一方向量測結果係一致。在一些實施例中,功函數金屬層256之一厚度沿實質上垂直於基板202之上表面202T之一方向量測結果係一致。
參考圖15A及圖15B,形成金屬閘極結構250進一步包含在功函數金屬層254及256上方形成一間隙填充金屬層258以填充閘極溝槽210H。針對p型區域202P,間隙填充金屬層258形成於功函數金屬層254上。針對n型區域202N,間隙填充金屬層258形成於功函數金屬層256上。間隙填充金屬層258包含一低電阻之任何可接受材料。例如,間隙填充金屬層258可由諸如Ru、Co、Al、Cu、AlCu、W、其等之組合或其類似者之一金屬形成,但不限於上述材料。間隙填充金屬層258可由ALD、CVD、PVD或其類似者沈積。在一些實施例中,間隙填充金屬層258由一非保形程序沈積。例如,間隙填充金屬層258依一間隙填充方式沈積。間隙填充金屬層258可完全填充閘極溝槽210H之剩餘部分。由於功函數金屬層254及256具有一彎曲頂面,所以間隙填充金屬層258可具有一彎曲底面。
在一些實施例中,可執行諸如CMP之一平坦化程序以移除閘極介電層252、功函數金屬層254、256及間隙填充金屬層258之多餘部分,該等多餘部分位於介電結構230之頂面上方。在完成平坦化程序之後,閘極介電層252、功函數金屬層254、256及間隙填充金屬層258、介電結構230及間隔件212之頂面係共面的(在程序變動內)。針對p型區域202P,閘極溝槽210H中之閘極介電層252、功函數金屬層254及間隙填充金屬層258之剩餘部分形成金屬閘極結構250P。針對n型區域202N,閘極溝槽210H中之閘極介電層252、功函數金屬層256及間隙填充金屬層258之剩餘部分形成金屬閘極結構250N。在以下描述中,金屬閘極結構250P及金屬閘極結構250N可統稱為金屬閘極結構250。
在一些實施例中,沿實質上平行於基板202之一上表面202T之一方向D3量測之間隙填充金屬層258之一厚度258T沿實質上垂直於基板202之上表面202T之一方向D4變動。另外,方向D3可平行於第一方向D1。在一些實施例中,間隙填充金屬層258具有一中心部分258C及包圍中心部分258C之一周邊部分258P。在一些實施例中,自橫越鰭狀結構206之一剖面圖看,中心部分258C之一底面低於周邊部分258P之一底面。在一些實施例中,金屬閘極結構250具有鰭狀結構206上方之一高度,其中高度係沿實質上垂直於基板202之上表面202T之方向D4量測。在一些實施例中,金屬閘極結構250之高度在自約10奈米至約20奈米之範圍內。
圖16A及圖16B繪示一或多個實施例中根據本發明之態樣所建構之一製造階段中之半導體結構20。此外,圖16A係沿類似於圖9中之參考剖面A-A之一剖面繪示之一剖面圖,且圖16B係沿類似於圖9中之參考剖面C-C之一剖面繪示之一剖面圖。
參考圖16A及圖16B,應瞭解,基板202可包含各種裝置區域,諸如一核心邏輯區域202C及一輸入/輸出區域202I。各種裝置區域可包含各種裝置。例如,核心邏輯區域202C可包含邏輯裝置且輸入/輸出區域202I可包含I/O FET裝置。亦應瞭解,不同裝置可能需要不同元件。在一些實施例中,當需要一I/O FET裝置時,犧牲介電層208可充當一界面層(IL)。換言之,犧牲介電層208在基板202之一第一區域(例如核心邏輯區域202C)中被移除且在基板202之一第二區域(例如輸入/輸出區域202I)中保留。可在基板202之第一區域(例如核心邏輯區域202C)中執行所繪示之閘極替換程序,且可在基板202之第二區域(例如輸入/輸出區域202I)中執行其中不移除犧牲介電層208之另一閘極替換程序。
在一些實施例中,如圖16A中所展示,核心邏輯區域202C中之IL 240具有一凹形輪廓。在一些實施例中,IL 240之凹形輪廓可界定低於鰭狀結構206 (或基板202)之一上表面之一上邊界240U。在一些實施例中,輸入/輸出區域202I中之界面層(即,犧牲介電層208)具有高於鰭狀結構206 (或基板202)之上表面之一上邊界208U。在一些實施例中,輸入/輸出區域202I中之界面層(即,犧牲介電層208)之厚度大於核心邏輯區域202C中之IL 240之厚度。
在本揭露中,用於形成半導體結構之方法包含對犧牲介電層下方之鰭狀結構引入一表面處理。表面處理可促進形成鰭狀結構(或基板)之懸空鍵。在一些實施例中,形成於鰭狀結構上方之一界面層之一厚度可比其中不涉及表面處理之一比較實施例增大。界面層之厚度歸因於增加鰭狀結構(或基板)之懸空鍵而增大。表面處理亦可促進形成鰭狀結構之一彎曲上表面。鰭狀結構之彎曲上表面可產生用於形成金屬閘極之材料之一收縮空間。因此,形成於其上之金屬閘極可具有較小基腳或可實質上沒有基腳。此外,形成於其上之金屬閘極可具有一較短閘極長度。因此,可提高半導體結構之裝置效能。
在一些實施例中,一種方法包含:接收一基板,該基板包括放置於其上之一犧牲閘極結構,其中該犧牲閘極結構包括一犧牲閘極層及一犧牲介電層;移除該犧牲閘極層以形成暴露該犧牲介電層之一閘極溝槽;對由該閘極溝槽中之該犧牲介電層覆蓋之該基板之一部分執行一離子植入;移除該犧牲介電層以自該閘極溝槽暴露該基板;在該閘極溝槽中之該基板上方形成一界面層;及在該閘極溝槽中之該界面層上方形成一金屬閘極結構。
在一些實施例中,一種方法包含:接收一半導體結構,該半導體結構包括橫越一鰭狀結構且放置於一對間隔件之間的一犧牲閘極結構,其中該犧牲閘極結構包括一犧牲閘極層及一犧牲介電層;移除該犧牲閘極層以暴露該對間隔件之間的該犧牲介電層;引入複數個摻雜劑以形成該鰭狀結構中之一摻雜區域及一對摻雜間隔件;自該鰭狀結構之一第二部分移除該犧牲介電層及該鰭狀結構之一第一部分;在該對摻雜間隔件之間的該鰭狀結構之該第二部分上方形成一界面層;及在該對摻雜間隔件之間的該界面層上方形成一金屬閘極結構。
在一些實施例中,一種半導體結構包含:一半導體基板,其具有一鰭狀結構;一界面層,其位於該半導體基板上方,具有一凹形輪廓;及一金屬閘極結構,其位於該界面層上方,該金屬閘極結構包括一閘極介電層、一功函數金屬層及一間隙填充金屬層,其中沿實質上平行於該半導體基板之一上表面之一第一方向量測之該間隙填充金屬層之一厚度沿實質上垂直於該半導體基板之該上表面之一第二方向變動。
上文已概述若干實施例之結構,使得熟習技術者可較佳理解本發明之態樣。熟習技術者應瞭解,其可易於將本揭露用作用於設計或修改其他程序及結構以實施相同目的及/或達成本文中所引入之實施例之相同優點的一基礎。熟習技術者亦應意識到,此等等效建構不應背離本發明之精神及範疇,且其可在不背離本發明之精神及範疇的情況下對本文作出各種改變、替代及更改。
10:方法 20:半導體結構 102:操作 104:操作 106:操作 108:操作 110:操作 112:操作 202:基板 202C:核心邏輯區域 202I:輸入/輸出區域 202N:n型區域 202P:p型區域 202T:上表面 204:隔離結構 206:鰭狀結構 206A:周邊區域 206C:中心區域 206F:摻雜區域 206N:鰭狀結構 206P:鰭狀結構 206U:彎曲上表面 208:犧牲介電層 208U:上邊界 209:犧牲閘極層 210:犧牲閘極結構 210H:閘極溝槽 212:間隔件 212O:開口 213:圖案化硬遮罩 213a:第一圖案化層 213b:第二圖案化層 214:絕緣結構 218:源極/汲極(S/D)結構 220:介電材料層 222:接觸蝕刻停止層(CESL) 230:介電結構 240:界面層(IL) 240T:厚度 240U:上邊界 250:金屬閘極結構 250N:金屬閘極結構 250P:金屬閘極結構 252:閘極介電層 252a:第一高k介電層 252b:第二高k介電層 254:功函數金屬層 256:功函數金屬層 258:間隙填充金屬層 258C:中心部分 258P:周邊部分 258T:厚度 900:離子植入 900A:區域 900B:區域 900C:區域 900D:區域 D1:第一方向 D2:第二方向 D3:方向 D4:方向
自結合附圖來閱讀之以下[實施方式]最佳理解本發明之實施例之態樣。應注意,根據行業標準做法,各種結構未按比例繪製。事實上,為使討論清楚,可任意增大或減小各種結構之尺寸。
圖1係表示根據本發明之態樣之用於形成一半導體結構之一方法的一流程圖。
圖2係繪示根據本發明之態樣所建構之一製造階段中之一半導體結構的一示意圖。
圖3至圖6係繪示根據本發明之態樣所建構之不同製造階段中之一半導體結構的剖面圖。
圖7係繪示根據本發明之態樣所建構之一製造階段中之一半導體結構的一示意圖。
圖8A係沿類似於圖7中之參考剖面A-A及參考剖面B-B之一剖面繪示之一剖面圖,且圖8B係沿類似於圖7中之參考剖面C-C之一剖面繪示之一剖面圖。
圖9係繪示根據本發明之態樣所建構之一製造階段中之一半導體結構的一示意圖。
圖10A係沿類似於圖9中之參考剖面A-A及參考剖面B-B之一剖面繪示之一剖面圖,且圖10B係沿類似於圖9中之參考剖面C-C之一剖面繪示之一剖面圖。
圖11係繪示根據本發明之態樣所建構之一製造階段中之一半導體結構的一俯視圖。
圖12A、圖12B、圖13A、圖13B、圖14A、圖14B、圖15A及圖15B繪示根據本發明之態樣所建構之各種製造階段中之一半導體結構。
圖16A及圖16B繪示根據本發明之態樣所建構之一製造階段中之一半導體結構。
20:半導體結構
202:基板
202N:n型區域
202P:p型區域
202T:上表面
206:鰭狀結構
206N:鰭狀結構
206P:鰭狀結構
210H:閘極溝槽
212:間隔件
218:源極/汲極(S/D)結構
220:介電材料層
222:接觸蝕刻停止層(CESL)
230:介電結構
240:界面層(IL)
250N:金屬閘極結構
250P:金屬閘極結構
252:閘極介電層
252a:第一高k介電層
252b:第二高k介電層
254:功函數金屬層
256:功函數金屬層
258:間隙填充金屬層
258C:中心部分
258P:周邊部分
258T:厚度
D3:方向
D4:方向

Claims (10)

  1. 一種形成半導體結構之方法,其包括:接收一基板,該基板包括放置於其上之一犧牲閘極結構,其中該犧牲閘極結構包括一犧牲閘極層及一犧牲介電層;移除該犧牲閘極層以形成暴露該犧牲介電層之一閘極溝槽;對由該閘極溝槽中之該犧牲介電層覆蓋之該基板之一部分執行一離子植入,其中該離子植入包含一氟化處理程序;移除該犧牲介電層以自該閘極溝槽暴露該基板;在該閘極溝槽中之該基板上方形成一界面層;及在該閘極溝槽中之該界面層上方形成一金屬閘極結構。
  2. 如請求項1之方法,其中該氟化處理程序之一氣體源包含氟化硼(BF2)及氟化矽(SiF3)。
  3. 如請求項1之方法,其中該離子植入之一能量在自約0.1keV至約2keV之範圍內。
  4. 如請求項1之方法,其中自該基板移除該犧牲介電層形成該基板之一彎曲頂面。
  5. 如請求項1之方法,其中形成該金屬閘極結構進一步包括:在該閘極溝槽中之該界面層上方形成一金屬介電層; 在該閘極溝槽中之該閘極介電層上方形成一功函數金屬層;及在該功函數金屬層上方形成一間隙填充金屬層以填充該閘極溝槽。
  6. 一種形成半導體結構之方法,其包括:接收一半導體結構,該半導體結構包括橫越一鰭狀結構且放置於一對間隔件之間的一犧牲閘極結構,其中該犧牲閘極結構包括一犧牲閘極層及一犧牲介電層;移除該犧牲閘極層以暴露該對間隔件之間的該犧牲介電層;引入複數個摻雜劑以形成該鰭狀結構中之一摻雜區域及一對摻雜間隔件;自該鰭狀結構之一第二部分移除該犧牲介電層及該鰭狀結構之一第一部分;在該對摻雜間隔件之間的該鰭狀結構之該第二部分上方形成一界面層;及在該對摻雜間隔件之間的該界面層上方形成一金屬閘極結構,其中該對摻雜間隔件具有一減小介電常數。
  7. 如請求項6之方法,其中該複數個摻雜劑包含氟。
  8. 如請求項6之方法,其中該對摻雜間隔件中之該複數個摻雜劑之一濃度實質上小於該摻雜區域中之該複數個摻雜劑之一濃度。
  9. 一種半導體結構,其包括: 一半導體基板,其具有一鰭狀結構;一界面層,其位於該半導體基板上方,具有一凹形輪廓;及一金屬閘極結構,其位於該界面層上方,該金屬閘極結構包括一閘極介電層、一功函數金屬層及一間隙填充金屬層,其中沿實質上平行於該半導體基板之一上表面之一第一方向量測之該間隙填充金屬層之一厚度沿實質上垂直於該半導體基板之該上表面之一第二方向變動。
  10. 如請求項9之半導體結構,其中該間隙填充金屬層具有一中心部分及包圍該中心部分之一周邊部分,且自橫越該鰭狀結構之一剖面圖看,該中心部分之一底面低於該周邊部分之一底面。
TW110125189A 2021-03-19 2021-07-08 半導體結構及其形成方法 TWI809447B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/206,777 2021-03-19
US17/206,777 US11562910B2 (en) 2021-03-19 2021-03-19 Semiconductor structure and method for forming thereof

Publications (2)

Publication Number Publication Date
TW202238744A TW202238744A (zh) 2022-10-01
TWI809447B true TWI809447B (zh) 2023-07-21

Family

ID=82527186

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110125189A TWI809447B (zh) 2021-03-19 2021-07-08 半導體結構及其形成方法

Country Status (3)

Country Link
US (2) US11562910B2 (zh)
CN (1) CN114823521A (zh)
TW (1) TWI809447B (zh)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US9082698B1 (en) * 2014-03-07 2015-07-14 Globalfoundries Inc. Methods to improve FinFet semiconductor device behavior using co-implantation under the channel region
US9418899B1 (en) * 2015-02-02 2016-08-16 Globalfoundries Inc. Method of multi-WF for multi-Vt and thin sidewall deposition by implantation for gate-last planar CMOS and FinFET technology
TW201644052A (zh) * 2015-06-11 2016-12-16 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置結構及其製造方法
US20200020786A1 (en) * 2014-09-26 2020-01-16 Intel Corporation Selective gate spacers for semiconductor devices
TW202025261A (zh) * 2018-09-28 2020-07-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6319807B1 (en) * 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
US6784515B1 (en) * 2000-09-27 2004-08-31 Chou H Li Semiconductor integrated circuit device
US6806163B2 (en) * 2002-07-05 2004-10-19 Taiwan Semiconductor Manufacturing Co., Ltd Ion implant method for topographic feature corner rounding
US8592266B2 (en) * 2010-10-27 2013-11-26 International Business Machines Corporation Replacement gate MOSFET with a high performance gate electrode
US8952458B2 (en) * 2011-04-14 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate dielectric layer having interfacial layer and high-K dielectric over the interfacial layer
US9455346B2 (en) * 2013-12-09 2016-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Channel strain inducing architecture and doping technique at replacement poly gate (RPG) stage
US9590072B1 (en) * 2016-01-08 2017-03-07 United Microelectronics Corp. Method of forming semiconductor device
US10522344B2 (en) * 2017-11-06 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with doped gate dielectrics
US10770354B2 (en) * 2017-11-15 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming integrated circuit with low-k sidewall spacers for gate stacks
US10644125B2 (en) * 2018-06-14 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates and manufacturing methods thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US9082698B1 (en) * 2014-03-07 2015-07-14 Globalfoundries Inc. Methods to improve FinFet semiconductor device behavior using co-implantation under the channel region
US20200020786A1 (en) * 2014-09-26 2020-01-16 Intel Corporation Selective gate spacers for semiconductor devices
US9418899B1 (en) * 2015-02-02 2016-08-16 Globalfoundries Inc. Method of multi-WF for multi-Vt and thin sidewall deposition by implantation for gate-last planar CMOS and FinFET technology
TW201644052A (zh) * 2015-06-11 2016-12-16 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置結構及其製造方法
US20180337279A1 (en) * 2015-06-11 2018-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin Field Effect Transistor (FINFET) Device Structure with Stop Layer and Method for Forming the Same
TW202025261A (zh) * 2018-09-28 2020-07-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
專書 Quirl "Semiconductor manufacturing technology," p.207 Prentice Hall 2001
期刊 Miao Xu et al. Two methods of tuning threshold voltage of bulk FinFETs with replacement high-k metal-gate stacks Solid-State Electronics 129 Elsevier 2017 52-60;專書 Quirl "Semiconductor manufacturing technology," p.207 Prentice Hall 2001 *

Also Published As

Publication number Publication date
CN114823521A (zh) 2022-07-29
US20220301885A1 (en) 2022-09-22
TW202238744A (zh) 2022-10-01
US12020950B2 (en) 2024-06-25
US11562910B2 (en) 2023-01-24
US20230162989A1 (en) 2023-05-25

Similar Documents

Publication Publication Date Title
US11895819B2 (en) Implantations for forming source/drain regions of different transistors
TWI701830B (zh) 半導體裝置及其形成方法
US20240154043A1 (en) Self-aligned spacers for multi-gate devices and method of fabrication thereof
US11923251B2 (en) Methods of cutting metal gates and structures formed thereof
TWI668744B (zh) 半導體裝置及其形成方法
TWI698938B (zh) 半導體結構及其製造方法
US11404555B2 (en) Metal gates and manufacturing methods thereof
CN113113492A (zh) 半导体装置
US20240153953A1 (en) Method of manufacturing semiconductor devices and a semiconductor device
TW202002004A (zh) 半導體結構的製造方法
US11488874B2 (en) Semiconductor device with funnel shape spacer and methods of forming the same
US20220384429A1 (en) Gate Isolation for Multigate Device
US20220328657A1 (en) Integrated circuit structure and manufacturing method thereof
US11626401B2 (en) Integrated circuit devices and methods of manufacturing the same
TWI809447B (zh) 半導體結構及其形成方法
US20230361199A1 (en) Replacement sidewall spacers
US20230411291A1 (en) Integrated circuit device and method for fabricating the same
CN114864493A (zh) 半导体装置
CN115863407A (zh) 形成半导体器件的方法和半导体结构