TWI701830B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI701830B
TWI701830B TW108116369A TW108116369A TWI701830B TW I701830 B TWI701830 B TW I701830B TW 108116369 A TW108116369 A TW 108116369A TW 108116369 A TW108116369 A TW 108116369A TW I701830 B TWI701830 B TW I701830B
Authority
TW
Taiwan
Prior art keywords
opening
dielectric
isolation region
forming
contact
Prior art date
Application number
TW108116369A
Other languages
English (en)
Other versions
TW202002280A (zh
Inventor
陳亭綱
黃泰鈞
傅依婷
溫明璋
古淑瑗
楊復凱
李資良
盧永誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202002280A publication Critical patent/TW202002280A/zh
Application granted granted Critical
Publication of TWI701830B publication Critical patent/TWI701830B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明實施例的方法包含形成跨越半導體區的第一和第二虛置閘極堆疊,形成層間介電質將第一和第二虛置閘極堆疊埋入其中,以第一和第二替換閘極堆疊分別取代第一和第二虛置閘極堆疊,進行第一蝕刻製程以形成第一開口,移除第一替換閘極堆疊的一部分和第二替換閘極堆疊的一部分。 此方法還包含填充第一開口以形成介電隔離區,進行第二蝕刻製程以形成第二開口,其中層間介電質被蝕刻,並且介電隔離區暴露於第二開口,在第二開口中形成接觸間隔物,以及在第二開口中填充接觸插塞,接觸插塞位於接觸間隔物的兩相對部分之間。

Description

半導體裝置及其形成方法
本發明實施例係有關於半導體製造技術,且特別有關於半導體裝置的閘極隔離和接觸結構及其形成方法。
金屬氧化物半導體(Metal-Oxide-Semiconductor,MOS)裝置是積體電路中的基本構建元件。目前的MOS裝置通常具有閘極電極,閘極電極具有摻雜p型或n型雜質的多晶矽,其使用例如離子植入或熱擴散的摻雜操作,將閘極電極的功函數調整到矽的帶邊緣。對於n型金屬氧化物半導體(NMOS)裝置,可以將功函數調整到接近矽的導帶。對於P型金屬氧化物半導體(PMOS)裝置,可以將功函數調整到接近矽的價帶。藉由選擇適當的雜質,可以達到調整多晶矽閘極電極的功函數。
具有多晶矽閘極電極的MOS裝置表現出載子空乏效應(carrier depletion effect),這也稱為多晶矽空乏效應。當施加的電場從靠近閘極介電質的閘極區域掃除載子形成空乏層時,發生多晶矽空乏效應。在n型摻雜多晶矽層中,空乏層包含游離的非移動施體位點(ionized non-mobile donor sites);在p型摻雜多晶矽層中,空乏層包含游離的非移動受體位點(acceptor sites)。空乏效應導致有效閘極介電質厚度增加,使得在半導體表面上形成反轉層(inversion layer)更加困難。
多晶矽空乏問題可以藉由形成金屬閘極電極或金屬矽化物閘極電極來解決,其中NMOS裝置和PMOS裝置中使用的金屬閘極也可以具有帶邊緣(band-edge)功函數。由於NMOS裝置和PMOS裝置對功函數有不同的需求,因此使用雙閘極互補式金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor, CMOS)裝置。
在形成金屬閘極電極時,首先形成長條的虛置閘極,然後對其進行蝕刻,使得長條的虛置閘極的一些部分彼此分離。然後將介電材料填充到由長條的虛置閘極的蝕刻部分留下的開口中。然後研磨介電材料,在虛置閘極的剩餘部分之間留下一部分的介電材料,然後用金屬閘極取代虛置閘極的分離部分。
根據本發明的一些實施例,提供半導體裝置的形成方法。此方法包含形成第一虛置閘極堆疊和第二虛置閘極堆疊跨越半導體區,以及形成層間介電質(ILD)將第一虛置閘極堆疊和第二虛置閘極堆疊埋入其中。此方法還包含以第一替換閘極堆疊和第二替換閘極堆疊分別取代第一虛置閘極堆疊和第二虛置閘極堆疊。此方法更包含進行第一蝕刻製程以形成第一開口,移除第一替換閘極堆疊的一部分和第二替換閘極堆疊的一部分,以及填充第一開口以形成介電隔離區。此方法還包含進行第二蝕刻製程以形成第二開口,其中層間介電質被蝕刻,並且介電隔離區暴露於第二開口。此方法更包含在第二開口中形成接觸間隔物,並且在第二開口中填充接觸插塞,接觸插塞位於接觸間隔物的兩相對部分之間。
根據本發明的一些實施例,提供半導體裝置的形成方法。此方法包含形成蝕刻遮罩,其中第一金屬閘極的一部分、在第一金屬閘極的相對兩側上的閘極間隔物、以及在閘極間隔物的一側上的層間介電質經由此蝕刻遮罩露出。此方法還包含進行第一蝕刻製程以在層間介電質中形成第一開口,其中第一金屬閘極、閘極間隔物和層間介電質的露出部分被移除。此方法也包含用介電隔離區填充第一開口,以及進行第二蝕刻製程以在層間介電質中形成第二開口,其中在第一金屬閘極的一側上的源極/汲極區經由第二開口露出。此方法更包含沉積介電層,其中介電層延伸到第二開口中,以及移除位於第二開口的底部之介電層的底部,在第二開口的側壁上具有介電層的留下部分以形成接觸間隔物,並且接觸間隔物的側壁與介電隔離區的側壁接觸。
根據本發明的一些實施例,提供半導體裝置。此半導體裝置包含第一金屬閘極,以及將第一金屬閘極分隔成第一部分和第二部分的介電隔離區。此半導體裝置還包含在第一金屬閘極的第一部分的一側上的源極/汲極區,並且源極/汲極區與第一部分形成第一電晶體。此半導體裝置也包含層間介電質,使得第一金屬閘極和源極/汲極區埋入於其中。此半導體裝置更包含接觸插塞延伸到層間介電質中,以電性耦接至源極/汲極區,以及介電接觸間隔物,其包含位於接觸插塞的兩側上並與接觸插塞接觸的部分。
以下內容提供了許多不同實施例或範例,以實現本發明實施例的不同部件(feature)。以下描述組件和配置方式的具體範例,以簡化本發明實施例。當然,這些僅僅是範例,而非意圖限制本發明實施例。舉例而言,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件可以不直接接觸的實施例。此外,本發明實施例可在各個範例中重複參考標號及/或字母。此重複是為了簡化和清楚之目的,其本身並非用於指定所討論的各個實施例及/或配置之間的關係。
另外,為了容易描述本發明實施例之圖式中繪示說明的一個元件或部件與另一個元件或部件之間的關係,在此可以使用空間相關用語,例如“在…下方”、“在…底下”、“較低”、“在…上方”、”之上”、“較高”等類似的空間相關用語。這些空間相關用語意欲涵蓋除了圖式描繪的方向以外,在使用或操作中的裝置之不同方位。設備可以用其他方向定位(旋轉90度或在其他方向),且在此描述中所使用的空間相關用語可以依此做相應的解讀。
根據各種實施例提供了使用切割金屬閘極(cut-metal-gate)製程形成的電晶體及其形成方法。根據一些實施例,繪示說明形成電晶體的中間階段,並討論一些實施例的一些變化。在各個圖式和說明的實施例中,相似的參考標號用於表示相似的元件。在說明的實施例中,使用鰭式場效電晶體(FinFET)的形成作為解釋本發明實施例的概念的範例,平面電晶體的金屬閘極的形成也可以採用本發明實施例。
第1圖至第15圖繪示出根據本發明的一些實施例,採用切割金屬閘極製程形成鰭式場效電晶體(FinFET)的中間階段的剖面圖、上視圖和透視圖。第1圖至第15圖中所示的製程也示意性地反映在第16圖所示的製程流程中。
第1圖繪示出初始結構的透視圖。初始結構包含晶圓10,晶圓10還包含基底20。基底20可以是半導體基底,其可以是矽基底、矽鍺基底、或由其他半導體材料形成的基底。基底20可以摻雜有p型或n型雜質。隔離區例如淺溝槽隔離(Shallow Trench Isolation,STI)區22,從基底20的頂表面延伸到基底20中而形成。相鄰的STI區22之間的基底20的部分被稱為半導體條(strips)24。根據一些實施例,半導體條24的頂表面和STI區22的頂表面可以大致上彼此齊平。根據本發明的一些實施例,半導體條24是原始基底20的一部分,因此半導體條24的材料與基底20的材料相同。根據本發明的其他實施例,半導體條24是藉由蝕刻STI區22之間的基底20的一部分形成凹槽,以及進行磊晶製程以在凹槽中再生長另一半導體材料而形成的替換條。因此,半導體條24可由不同於基底20的半導體材料形成。根據本發明的一些實施例,半導體條24由矽鍺、矽碳或III-V族化合物半導體材料形成。
STI區22可以包含內襯氧化物(未繪示出),其可以是經由基底20的表面層的熱氧化形成的熱氧化物。內襯氧化物也可以是使用例如原子層沉積(Atomic Layer Deposition,ALD)、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition,HDPCVD)、化學氣相沉積(Chemical Vapor Deposition,CVD)或類似方式所形成的沉積氧化矽層。STI區22還可以包含內襯氧化物上方的介電材料,此介電材料可以使用可流動化學氣相沉積(Flowable Chemical Vapor Deposition,FCVD)、旋塗或類似方式形成。
參考第2圖,將STI區22凹陷,使得半導體條24的頂部突出高於STI區22的留下部分的頂表面22A,以形成突出鰭片24'。此各別製程如第16圖所示的製程流程中的製程202所示。可以使用乾蝕刻製程進行此蝕刻,其使用HF3 和NH3 作為蝕刻氣體。根據本發明的其他實施例,可以使用濕式蝕刻製程進行STI區22的凹陷,其使用的蝕刻化學品可包含例如HF溶液。
在上述實施例中,可以藉由任何合適的方法圖案化出鰭片。例如,可以使用一個或多個微影製程來圖案化出鰭片,包含雙圖案化或多圖案化製程。 通常,雙圖案化或多圖案化製程結合微影和自對準製程,可以讓產生的圖案的間距比使用例如單一直接微影製程可獲得的間距更小。例如,在一實施例中,在基底上形成犧牲層,並使用微影製程圖案化犧牲層。使用自對準製程在圖案化的犧牲層側邊形成間隔物,然後去除犧牲層,接著可以使用留下的間隔物或心軸來圖案化出鰭片。
突出鰭片24’的材料可以與基底20的材料相同或不同。例如,突出鰭片24’可以由Si、SiP、SiC、SiPC、SiGe、SiGeB、Ge或III-V族化合物半導體形成,III-V族化合物半導體例如為InP、GaAs、AlAs、InAs、InAlAs、InGaAs或類似材料。
參考第3圖,虛置閘極堆疊30形成在突出鰭片24’的頂表面和側壁上。此各別製程如第16圖中所示的製程流程中的製程204所示。虛置閘極堆疊30可包含虛置閘極介電質32和虛置閘極介電質32上的虛置閘極電極34。可以使用例如多晶矽形成虛置閘極電極34,也可以使用其他材料形成虛置閘極電極34。每個虛置閘極堆疊30還可包含在虛置閘極電極34上方的一個(或多個)硬遮罩層36,硬遮罩層36可以由氮化矽、氧化矽、碳氮化矽或前述之多層、或其他材料形成。虛置閘極堆疊30可以跨越單一個或多個突出鰭片24’和/或STI區22。虛置閘極堆疊30還具有縱長方向,其垂直於突出鰭片24’的縱長方向。
接下來,在虛置閘極堆疊30的側壁上形成閘極間隔物38。根據本發明的一些實施例,閘極間隔物38由介電材料形成,例如氮化矽、氧化矽、碳氮化矽、氮氧化矽、碳氮氧化矽或類似材料,並且可以具有單層結構或包含多個介電層的多層結構。
根據本發明的一些實施例,進行蝕刻步驟(下文中稱為鰭片凹陷)以蝕刻未被虛置閘極堆疊30和閘極間隔物38覆蓋的突出鰭片24’的部分,產生的結構如第4圖所示。凹陷可以是非等向性的,因此位於虛置閘極堆疊30和閘極間隔物38正下方的突出鰭片24’的部分受到保護,並且不被蝕刻。根據一些實施例,凹陷的半導體條24的頂表面可以低於STI區22的頂表面22A。因此,在STI區22之間形成凹陷40,凹陷40位於虛置閘極堆疊30的兩側。
接下來,藉由從凹陷40選擇性地成長半導體材料來形成磊晶區(源極/汲極區)42,得到第5A圖中的結構。此各別製程如第16圖所示的製程流程中的製程206所示。根據本發明的一些實施例,磊晶區42包含矽鍺、矽、矽碳或類似材料,其取決於所得到的FinFET是p型FinFET還是n型FinFET,可以經由磊晶進行原位摻雜p型或n型雜質。例如,當得到的FinFET是p型FinFET時,可以成長矽鍺硼(SiGeB)、GeB或類似材料。反之,當得到的FinFET是n型FinFET時,可以成長矽磷(SiP)、矽碳磷(SiCP)或類似材料。根據本發明的其他實施例,磊晶區42由III-V族化合物半導體形成,例如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、前述之組合、或前述之多層。在磊晶區42完全填充凹陷40之後,磊晶區42開始水平擴展,並且可以形成小切面(facets)。相鄰的磊晶區42可以彼此合併,或者可以彼此分離。
在磊晶步驟之後,還可以用p型或n型雜質植入磊晶區42以形成源極和汲極區,也可使用參考標號42表示源極/汲極區。根據本發明的其他實施例,當磊晶區42在磊晶期間原位摻雜p型或n型雜質時,略過植入步驟。磊晶源極/汲極區42可以包含形成在STI區22中的下部,以及形成在STI區22的頂表面22A以上的上部。
第5B圖繪示出根據本發明的其他實施例的包覆(cladding)源極/汲極區42的形成。根據這些實施例,如第3圖所示的突出鰭片24’沒有被凹陷,並且磊晶區41生長在突出鰭片24’上。磊晶區41的材料可以類似於第5A圖中所示的磊晶區42的半導體材料,這取決於所得到的FinFET是p型還是n型FinFET。因此,包覆源極/汲極區42包含突出鰭片24’和磊晶區41。可以(或可以不)進行植入以植入n型雜質或p型雜質。相鄰的包覆源極/汲極區42也可以彼此合併,或者彼此保持分離。
第6A圖繪示出在形成接觸蝕刻停止層(Contact Etch Stop Layer,CESL)46和層間介電質(Inter-Layer Dielectric,ILD)48之後的結構的透視圖。此各別製程如第16圖所示的製程流程中的製程208所示。CESL 46可以由氮化矽、碳氮化矽或類似材料形成,可以使用順應性沉積方法形成CESL 46,例如ALD或CVD。ILD 48可以包含介電材料,其使用例如FCVD、旋塗、CVD或其他沉積方法形成。ILD 48也可以由含氧的介電材料形成,其可以是氧化矽基材料,例如四乙基正矽酸鹽(Tetra Ethyl Ortho Silicate,TEOS)氧化物、電漿增強CVD(Plasma-Enhanced CVD,PECVD)氧化物(SiO2 )、磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、摻雜硼的磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)或類似材料。進行平坦化製程例如化學機械研磨(Chemical Mechanical Polish,CMP)製程或機械研磨製程,以使ILD 48、虛置閘極堆疊30和閘極間隔物38的頂表面彼此齊平。
第6B圖繪示出第6A圖中所示結構的剖面圖,從包含第6A圖中的線6B-6B的垂直平面得到此剖面圖。如第6B圖所示,繪示出虛置閘極堆疊30中的一個,虛置閘極堆疊30的繪示部分是在STI區22正上方的部分,突出鰭片24’位於未繪示出的其他平面中。
接下來,用替換閘極堆疊取代虛置閘極堆疊30,虛置閘極堆疊30包含硬遮罩層36、虛置閘極電極34和虛置閘極介電質32,替換閘極堆疊可以包含金屬閘極和替換閘極介電質,如第7A和7B圖所示。根據本發明的一些實施例,取代製程包含在一個或多個蝕刻步驟中蝕刻如第6A和6B圖所示的硬遮罩層36、虛置閘極電極34和虛置閘極介電質32,使得開口形成在閘極間隔物38的兩相對部分之間,此各別製程如第16圖所示的製程流程中的製程210所示。此各別結構可以從第6A圖所示的結構去除虛置閘極堆疊30實現。
接下來,參考第7A和7B圖,形成替換閘極堆疊60,其包含閘極介電層54(參考第8C圖)和閘極電極56。在第7A和7B圖中可以看到,高介電常數介電層52是閘極介電層54的一部分。此各別製程如第16圖所示的製程流程中的製程212所示。閘極堆疊60的形成包含形成/沉積多個層,然後進行平坦化製程,例如CMP製程或機械研磨製程。閘極介電層54延伸到藉由移除虛置閘極堆疊所留下的溝槽中。根據本發明的一些實施例,如第8C圖所示,閘極介電層54包含界面層(Interfacial Layers,IL)50作為其下部。IL 50形成在突出鰭片24’的暴露表面上。每一個IL 50可以包含氧化物層,例如氧化矽層,其經由突出鰭片24’的熱氧化、化學氧化製程或沉積製程形成。閘極介電層54還可包含形成在IL 50上的高介電常數介電層52。高介電常數介電層52包含高介電常數介電材料,例如HfO2 、ZrO2 、HfZrOx、HfSiOx、HfSiON、ZrSiOx、HfZrSiOx、Al2 O3 、HfAlOx 、HfAlN、ZrAlOx、La2 O3 、TiO2 、Yb2 O3 、氮化矽或類似材料。高介電常數介電材料的介電常數(k值)高於3.9,並且可高於約7.0。高介電常數介電層52形成為順應層,並且在突出鰭片24’的側壁和閘極間隔物38的側壁上延伸。根據本發明的一些實施例,使用ALD或CVD形成高介電常數介電層52。
再參考第7A和7B圖,閘極電極56形成在高介電常數介電層52的頂部上,並填充由移除虛置閘極堆疊所留下的溝槽的剩餘部分。閘極電極56中的子層未在第7A圖中單獨繪示出,然而子層由於其組成不同而彼此可區分。可以使用例如ALD或CVD的順應性沉積方法來進行至少較下方子層的沉積,使得閘極電極56(以及每個子層)的垂直部分的厚度和水平部分的厚度大致上彼此相等。
閘極電極56可以包含多個層,其包含但不限於氮化鈦矽(Titanium Silicon Nitride,TSN)層、氮化鉭(TaN)層、氮化鈦(TiN)層、鈦鋁(TiAl)層、附加的TiN和/或TaN層,以及填充金屬。這些層中的一些層定義了各別FinFET的功函數。應當理解,此層堆疊是示例,並且可以採用具有不同結構的金屬堆疊。閘極介電層54和閘極電極56的組合稱為替換閘極堆疊或金屬閘極堆疊60。此外,p型FinFET的金屬層和n型FinFET的金屬層可以彼此不同,使得金屬層的功函數適用於各自的p型或n型FinFET。填充金屬可包含鋁、銅、鎢、鈷或類似金屬。
第7B圖繪示出金屬閘極堆疊60的剖面圖,此剖面圖是從含有第7A圖所示的線7B-7B的垂直平面得到。由於剖面圖是從橫越STI區22的平面取得,而不是從橫越突出鰭片24’的平面取得,因此在剖面圖中可以不存在IL 50(第8C圖),而是高介電常數介電層52接觸STI區22的頂表面。
接下來,如第8A、8B和8C圖所示,形成介電硬遮罩62。此各別製程如第16圖所示的製程流程中的製程214所示。硬遮罩62的材料可以與CESL 46、ILD 48和/或閘極間隔物38中的一些材料相同或不同。在本發明的一些實施例中,硬遮罩62的形成包含經由蝕刻使得替換閘極堆疊60凹陷以形成凹槽,將介電材料填充到凹槽中,以及進行平坦化以去除介電材料的多餘部分。介電材料的留下部分為硬遮罩62。根據本發明的一些實施例,硬遮罩62由氮化矽、氮氧化矽、碳氧化矽、碳氮氧化矽或類似材料形成。
第8B圖繪示出第8A圖所示結構的剖面圖,此剖面圖是從含有第8A圖中的線8B-8B的平面取得。第8C圖繪示出從含有第8A圖中的線8C-8C的垂直平面取得的剖面圖。
第9A、9B和9C圖繪示出蝕刻遮罩63的形成和圖案化。沉積蝕刻遮罩63,並且在蝕刻遮罩63中形成開口65。蝕刻遮罩63可以由光阻、氮化鈦、氮化硼、氮化矽或類似材料形成,且蝕刻遮罩63的材料不同於底下的閘極間隔物38、硬遮罩62、金屬閘極堆疊60和ILD 48的材料。蝕刻遮罩63的材料也可以不同於CESL 46和閘極間隔物38的材料。當蝕刻遮罩63由非光阻材料形成時,可以在硬遮罩62之上形成圖案化的光阻(未繪示出),並且使用光阻作為蝕刻遮罩來蝕刻出蝕刻遮罩63。第9B和9C圖分別繪示出從含有第9A圖中的線9B-9B和線9C-9C的平面取得的剖面圖。第9D圖繪示出透視圖,並且取得剖面圖的線9B-9B和9C-9C也在第9D圖中繪示出。
第10A、10B和10C圖繪示出金屬閘極堆疊60的蝕刻。此各別製程如第16圖所示的製程流程中的製程218所示。第10B和10C圖分別繪示出從包含第10A圖中的線10B-10B和10C-10C的相同平面取得的剖面圖。蝕刻結果為移除經由開口65暴露的金屬閘極堆疊60的部分。因此,每個金屬閘極堆疊60被切割成分開的部分,每個部分可以作為FinFET 66A和66B(第10A圖)中的一者的替換閘極電極。因此,此各別製程稱為切割金屬(或切割金屬閘極)製程。蝕刻產生開口72,如第10B和10C圖所示。
根據本發明的一些實施例,使用選自於SiCl4 、O2 、C4 F6 、HBr、He或前述之組合,但不限於此的製程氣體來進行蝕刻。 蝕刻可以在約3毫托(mTorr)至約10毫托的範圍內的壓力下進行。 在蝕刻中施加RF功率,並且RF功率可以在約500瓦特(Watts)和約900瓦特之間的範圍內,此外還可施加偏壓。
蝕刻是非等向性的,因此開口72的側壁大致上是垂直的。在蝕刻製程中,去除硬遮罩62、金屬閘極堆疊60、ILD 48(參見第9B圖)的露出部分,並且還移除了CESL 46和閘極間隔物38。因此,底下的STI區22的部分暴露出來。蝕刻可以持續直到蝕刻穿過STI區22,並且開口72延伸到塊狀基底20中。蝕刻也可以停止在STI區22的頂表面和底表面之間的中間水平位置。選擇蝕刻氣體使其主要蝕刻金屬閘極堆疊60中的金屬,以確保金屬被移除。因此,ILD 48、閘極間隔物38、CESL 46和STI區22的蝕刻速率可以低於金屬閘極堆疊60的蝕刻速率。結果,開口72包含部分72A,其位於金屬閘極堆疊60被移除部分(以虛線矩形表示其位置)的正下方,以及部分72B,其位於ILD 48和閘極間隔物38的正下方,部分72B比部分72A淺。
第11A、11B和11C圖繪示出介電隔離區76的形成,其另外可稱為切割金屬隔離區76。此各別製程如第16圖所示的製程流程中的製程220所示。第11A圖繪示出所得到的結構的上視圖,其顯示出介電隔離區76將FinFET 66A和66B的閘極堆疊分開。第11B圖是從含有第11A圖中的線11B-11B的平面取得。第11C圖是從含有第11A圖中的線11C-11C的平面取得。
介電隔離區76的形成可以包含將介電材料沉積到開口72中(第10A、10B和10C圖),以及進行例如CMP製程或機械研磨製程的平坦化製程,以去除介電材料的多餘部分。填充方法可包含原子層沉積、低壓化學氣相沉積、旋塗、電漿增強化學氣相沉積(PECVD)或類似方法。填充材料可包含氮化矽、氧化矽、碳化矽、氮氧化矽、碳氧化矽或類似材料。然後去除蝕刻遮罩63(第10A、10B和10C圖)。根據本發明的一些實施例,在CMP製程或蝕刻製程中去除蝕刻遮罩63。
參考第11A、11B和11C圖,在介電隔離區76的形成中,由於沉積的介電材料的過早密封,可能會形成空隙77。在沉積完成時,空隙77可能會完全密封在介電材料中,並且可能會在平坦化製程之後由於去除密封住空隙77的頂部而露出空隙77。
第12A、12B和12C圖至第14A、14B和14C圖繪示出接觸插塞的形成,其連接到FinFET的源極/汲極區。第12A、12B和12C圖繪示出接觸開口的形成。此各別製程如第16圖所示的製程流程中的製程222所示。參考第12A圖,形成開口78A、78B和78C,這些開口在下文中也可共同地和單獨地稱為開口78。第12B圖繪示出橫越第12A圖中線12B-12B的平面取得的剖面圖。第12C圖繪示出橫越第12A圖中的線12C-12C的平面取得的剖面圖。
如第12B和12C圖所示,形成圖案化的蝕刻遮罩75,其可以是光阻,並且進行蝕刻製程以形成開口78(例如圖示中的開口78A、78B)。根據本發明的一些實施例,如第12A圖所示,開口78(例如圖示中的開口78A、78B、78C)侵入形成介電隔離區76的區域,使得各個部件可以更靠近地放置,並且節省晶片面積。根據一些其他實施例,開口78A、78B和78C中的每一個可以或可以不侵入介電隔離區76。形成開口78A、78B和78C以露出FinFET的源極/汲極區42。在說明的實施例的示例中,開口78A和78B中的每一個切入介電隔離區76的一端。當開口78A和78B形成時,可以部分地或完全地蝕刻暴露於開口78A和78B的介電隔離區76的部分。另外,使用不侵蝕介電隔離區76的蝕刻劑來進行位於介電隔離區域76中的開口的形成,使得經由圖案化的蝕刻遮罩75中的開口暴露出來的介電隔離區76的部分不被蝕刻。第12C圖繪示出一些實施例,其中繪製虛線79以顯示出可能被去除或可能不被去除的介電隔離區76的部分。根據本發明的一些實施例,如第12C圖所示,介電隔離區76的蝕刻速率低於ILD 48的蝕刻速率,使得延伸到介電隔離區76中的開口的部分比延伸到ILD 48和CESL 46中的開口的部分淺。
如第12B圖所示,在形成開口78(例如圖示剖面中的開口78A)之後,經由開口78露出源極/汲極區42。在開口78的形成中,蝕刻ILD 48和CESL 46。第12C圖繪示出從含有第12A圖中的線12C-12C的平面取得的剖面圖,開口78(例如圖示中的開口78A、78B)停止在STI區22上。暴露於蝕刻劑的介電隔離區76的部分,在蝕刻中可以或可以不被去除,取決於介電隔離區76的材料和採用的蝕刻劑。當去除介電隔離區76時,並且當形成空隙77時,空隙77可以使其端部(例如圖所示的左端和右端)暴露於開口78,如第12A和12C圖所示。換言之,開口78A和/或78B可以連接到空隙77,以形成連續的開口/空隙。
參考第13A和13B圖,經由例如CVD或ALD的順應性沉積方法形成順應介電層,以形成接觸間隔物80。第13A圖是從取得第12B圖的同一平面取得的,第13B圖是從取得第12C圖的同一平面取得的。然後進行非等向性蝕刻製程,以去除位於開口78底部的順應介電層的部分80’,使得源極/汲極區42(第13A圖)再次露出。部分80”(第13B圖)可以變薄或去除。此各別製程如第16圖所示的製程流程中的製程224所示。接觸間隔物80可由選自於AlxOy、HfO2 、SiN、SiO2 、SiOCN、前述之組合、前述之複合層或類似材料形成。順應介電層的厚度可以在約2nm和約4nm之間的範圍內。
在非等向性蝕刻中,去除順應介電層的水平部分,並且在開口78的側壁上留下的垂直部分形成接觸間隔物80,當從晶圓10的頂部觀察時,接觸間隔物80形成完整的環(參見第14A圖)。
如第13B圖所示,接觸隔離物80沉積在開口78A和78B的側壁上,並隨著沉積的進行在開口78A和78B的側壁上生長。 當存在空隙77時,接觸隔離物80的一些部分生長在空隙77的端部上,並從側面密封空隙77。如果空隙77在頂部具有開口,則用於形成接觸隔離物80的材料也可以部分地從頂部填充空隙77。因此,接觸間隔物80將連續的開口/空隙77/78分離成隔開的開口78和空隙77(如果空隙77未完全填充)。
參考第14B和14C圖,使用例如PVD沉積金屬層82(例如鈦層或鈷層)。然後在金屬層82上形成阻障層84,其可以是金屬氮化物,例如氮化鈦層或氮化鉭層。可以使用CVD或經由金屬層82的頂層之氮化來形成阻障層84。金屬層82和阻障層84都是順應性的,並且延伸到開口78中。
然後進行退火,以形成源極/汲極的矽化物區88。退火可以經由快速熱退火(Rapid Thermal Anneal,RTA)、爐退火或類似退火進行。因此,金屬層82的底部與源極/汲區42反應以形成矽化物區88。金屬層82的側壁部分在矽化製程之後保留。根據本發明的一些實施例,矽化物區88的頂表面與阻障層84的底表面接觸。
接下來,金屬材料86沉積在阻障層84上並與阻障層84接觸。金屬材料86可包含鎢、鈷或類似金屬。然後進行平坦化製程,例如CMP製程或機械研磨製程,以去除ILD 48上的金屬層82、阻障層84和金屬材料86的部分,以形成源極/汲極的接觸插塞90(包含90A、90B和90C)。此各別製程如第16圖所示的製程流程中的製程226所示。所得到的結構在第14A圖中繪示,其包含源極/汲極的接觸插塞90A、90B和90C,這些接觸插塞被單獨地和共同地稱為接觸插塞90。
第14A和14C圖分別以上視圖和剖面圖繪示出接觸插塞90。第14B圖是從含有第14A圖中的線14B-14B的平面取得的,第14C圖是從含有第14A圖中的線14C-14C的平面取得的。如第14A圖所示,即使存在空隙77,介電接觸間隔物80也可以防止接觸插塞90A經由金屬電性短路至接觸插塞90B,此金屬在接觸插塞90的形成中可能不合需要地被填充到空隙77中。此外,如第14A圖所示,當接觸插塞90C侵入介電隔離區76時,介電接觸間隔物80可以防止接觸插塞90C經由金屬電性短路至接觸插塞90A和90B,此金屬在接觸插塞90的形成中可能不合需要地被填充到空隙77中。
第15圖繪示出蝕刻停止層92、介電層94、閘極的接觸插塞(導孔)96和源極/汲極的接觸插塞(導孔)98的形成。蝕刻停止層92可以由碳化矽、氮氧化矽、碳氮化矽或類似材料形成,並且可以使用例如CVD的沉積方法形成。介電層94可包含選自於PSG、BSG、BPSG、摻雜氟的矽玻璃(Fluorine-doped Silicon Glass,FSG)、TEOS氧化物、PECVD氧化物(SiO2 )或類似材料的材料,可以使用旋塗、FCVD或類似方法形成介電層94,或者使用例如PECVD或低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition,LPCVD)的沉積方法來形成介電層94。
在接觸插塞96和98的形成中,首先蝕刻介電層94和蝕刻停止層92以形成開口(由接觸插塞/導孔96和98佔據),可以使用例如反應性離子蝕刻(Reactive Ion Etch,RIE)來進行蝕刻。在隨後的製程中,形成接觸插塞/導孔96和98。根據本發明的一些實施例,接觸插塞/導孔96和98包含阻障層110和位於阻障層110上方的含金屬材料112。根據本發明的一些實施例,接觸插塞/導孔96和98的形成包含沉積毯覆阻障層和沉積含金屬材料在毯覆阻障層上,以及進行平坦化製程,以去除毯覆阻擋層和含金屬材料的多餘部分。阻障層110可以由例如氮化鈦或氮化鉭的金屬氮化物形成。根據本發明的一些實施例,形成接觸間隔物114和116,其可以由選自於用在形成接觸間隔物80的相同群組的候選材料形成。根據其他實施例,沒有形成接觸間隔物114和116。
根據本發明的一些實施例,第14A圖中所示的佈局的示例可以是靜態隨機存取記憶體(Static Random Access Memory,SRAM)單元的一部分,其中電晶體66B形成第一SRAM單元的提升(pull-up)電晶體,並且電晶體66A形成第二SRAM單元的傳輸閘(pass-gate)電晶體。接觸插塞90C可用於將正電源電壓VCC連接到提升電晶體66B的源極/汲極。應理解的是,本發明的實施例可以應用於除了SRAM單元以外的其他緊密間隔的電路。
本發明的實施例具有一些有優勢的部件,藉由形成介電接觸間隔物以包圍接觸插塞,可以防止由切割閘極介電隔離區中的空隙所引起的接觸插塞的電性短路。
根據本發明的一些實施例,半導體裝置的形成方法包含形成第一和第二虛置閘極堆疊跨越半導體區,形成層間介電質(ILD)將第一和第二虛置閘極堆疊埋入其中,以第一和第二替換閘極堆疊分別取代第一和第二虛置閘極堆疊,進行第一蝕刻製程以形成第一開口,並且移除第一替換閘極堆疊的一部分和第二替換閘極堆疊的一部分。此方法還包含填充第一開口以形成介電隔離區,進行第二蝕刻製程以形成第二開口,其中ILD被蝕刻,並且介電隔離區暴露於第二開口,以及在第二開口中形成接觸間隔物,並在第二開口中填充接觸插塞,接觸插塞位於接觸間隔物的兩相對部分之間。在一實施例中,第一蝕刻製程還包含蝕刻位於第一虛置閘極堆疊和第二虛置閘極堆疊之間的ILD的一部分。在一實施例中,第一蝕刻製程還包含蝕刻在第一虛置閘極堆疊的上述部分和第二虛置閘極堆疊的上述部分底下的隔離區的部分,其中此隔離區延伸到半導體區底下的半導體基底中。在一實施例中,第一蝕刻製程還包含蝕刻半導體基底的一部分。在一實施例中,在第二蝕刻製程之後,介電隔離區中的空隙連接到第二開口以形成連續開口。在一實施例中,接觸間隔物將空隙與第二開口分開。在一實施例中,填充接觸插塞包含:沉積金屬層,此金屬層包含延伸到第二開口中的一部分,其中金屬層的此部分被接觸間隔物包圍;使金屬層與底下的源極/汲極區反應以形成矽化物區;以及將金屬區填充到第二開口中。在一實施例中,在第二蝕刻製程中,介電隔離區被蝕刻。在一實施例中,在第二蝕刻製程中,以比蝕刻ILD更低的蝕刻速率蝕刻介電隔離區。
根據本發明的一些實施例,半導體裝置的形成方法包含形成蝕刻遮罩,其中第一金屬閘極的一部分、在第一金屬閘極的相對兩側上的閘極間隔物、以及在閘極間隔物的一側上的層間介電質(ILD)經由蝕刻遮罩露出;進行第一蝕刻製程以在ILD中形成第一開口,其中第一金屬閘極、閘極間隔物和ILD的露出部分被移除;用介電隔離區填充第一開口;進行第二蝕刻製程以在ILD中形成第二開口,其中在第一金屬閘極的一側上的源極/汲極區經由第二開口露出;沉積介電層,其中此介電層延伸到第二開口中;以及移除在第二開口的底部之介電層的底部,在第二開口的側壁上具有介電層的留下部分以形成接觸間隔物,並且接觸間隔物的側壁與介電隔離區的側壁接觸。在一實施例中,在第一蝕刻製程中還蝕刻與第一金屬閘極相鄰的第二金屬閘極,並且第一開口從第一金屬閘極連續延伸到第二金屬閘極。在一實施例中,在第二蝕刻製程中,蝕刻位於第一金屬閘極和ILD底下的隔離區,並且第二開口延伸到隔離區下面的塊狀半導體基底中。在一實施例中,在第二蝕刻製程中,蝕刻介電隔離區的一部分,以將介電隔離區中的空隙與第二開口連接。在一實施例中,接觸間隔物將第二開口與空隙分開。在一實施例中,當蝕刻ILD以形成第二開口時,在ILD中形成第三開口,介電隔離區的第一端部暴露於第二開口,並且介電隔離區的第一端部和第二端部之間的中間部分暴露於第三開口。
根據本發明的一些實施例,半導體裝置包含第一金屬閘極;將第一金屬閘極分隔成第一部分和第二部分的介電隔離區;在第一金屬閘極的第一部分的一側上的源極/汲極區,並且源極/汲極區與第一部分形成第一電晶體;層間介電質使得第一金屬閘極和源極/汲極區埋入於其中;接觸插塞延伸到層間介電質中,以電性耦接至源極/汲極區;以及介電接觸間隔物,其包含位於接觸插塞的兩側上並與接觸插塞接觸的部分。在一實施例中,介電接觸間隔物的側壁與介電隔離區的側壁接觸。在一實施例中,介電接觸間隔物包含空隙,並且空隙延伸到介電接觸間隔物,讓介電接觸間隔物的側壁暴露於空隙。在一實施例中,此半導體裝置還包含第二金屬閘極,其中介電隔離區還將第二金屬閘極分成第三部分和第四部分。在一實施例中,在此半導體裝置的上視圖中,介電隔離區的縱長方向垂直於第一金屬閘極的縱長方向。
以上概述了數個實施例的部件、使得在本發明所屬技術領域中具有通常知識者可以更理解本發明實施例的概念。在本發明所屬技術領域中具有通常知識者應該理解、可以使用本發明實施例作為基礎、來設計或修改其他製程和結構、以實現與在此所介紹的實施例相同的目的及/或達到相同的好處。在本發明所屬技術領域中具有通常知識者也應該理解、這些等效的結構並不背離本發明的精神和範圍、並且在不背離本發明的精神和範圍的情況下、在此可以做出各種改變、取代和其他選擇。因此、本發明之保護範圍當視後附之申請專利範圍所界定為準。
10‧‧‧晶圓20‧‧‧基底22‧‧‧淺溝槽隔離(STI)區22A‧‧‧頂表面24‧‧‧半導體條24’ ‧‧‧突出鰭片30‧‧‧虛置閘極堆疊32‧‧‧虛置閘極介電質34‧‧‧虛置閘極電極36‧‧‧硬遮罩層38‧‧‧閘極間隔物40‧‧‧凹陷42‧‧‧源極/汲極區41‧‧‧磊晶區46‧‧‧接觸蝕刻停止層(CESL)48‧‧‧層間介電質(ILD)50‧‧‧界面層(IL)52‧‧‧高介電常數介電層54‧‧‧閘極介電層56‧‧‧閘極電極60‧‧‧替換(金屬)閘極堆疊62‧‧‧硬遮罩63‧‧‧蝕刻遮罩65‧‧‧開口66A、66B‧‧‧鰭式場效電晶體(FinFET)72‧‧‧開口72A、72B‧‧‧部分75‧‧‧蝕刻遮罩76‧‧‧介電隔離區77‧‧‧空隙78A、78B、78C‧‧‧開口79‧‧‧虛線80‧‧‧接觸間隔物80’、80”‧‧‧部分82‧‧‧金屬層84‧‧‧阻障層86‧‧‧金屬材料88‧‧‧矽化物區90A、90B、90C‧‧‧接觸插塞92‧‧‧蝕刻停止層94‧‧‧介電層96、98‧‧‧接觸插塞(導孔)110‧‧‧阻障層112‧‧‧含金屬材料114、116‧‧‧接觸間隔物200‧‧‧方法202、204、206、208、210、212、214、216、218、220、222、224、226‧‧‧製程
為了讓本發明實施例能更容易理解,以下配合所附圖式作詳細說明。應該注意,根據工業上的標準範例,各個部件未必按照比例繪製。實際上,為了讓討論清晰易懂,各個部件的尺寸可以被任意放大或縮小。 第1-4、5A、 5B、 6A、 6B、 7A、 7B、 8A、 8B、 8C、 9A、 9B、 9C、 9D、 10A、 10B、 10C、 11A、 11B、 11C、 12A、 12B、 12C、 13A、 13B、 14A、 14B、 14C和15圖為根據本發明的一些實施例,繪示說明在形成鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)的中間階段之透視圖、上視圖和剖面示意圖。 第16圖為根據本發明的一些實施例,形成鰭式場效電晶體之流程圖。
10‧‧‧晶圓
22A‧‧‧頂表面
24’‧‧‧突出鰭片
38‧‧‧閘極間隔物
42‧‧‧源極/汲極區
46‧‧‧接觸蝕刻停止層(CESL)
48‧‧‧層間介電質(ILD)
50‧‧‧界面層(IL)
52‧‧‧高介電常數介電層
54‧‧‧閘極介電層
56‧‧‧閘極電極
80‧‧‧接觸間隔物
82‧‧‧金屬層
84‧‧‧阻障層
86‧‧‧金屬材料
88‧‧‧矽化物區
90A‧‧‧接觸插塞

Claims (14)

  1. 一種半導體裝置的形成方法,包括:形成一第一虛置閘極堆疊和一第二虛置閘極堆疊跨越一半導體區;形成一層間介電質,將該第一虛置閘極堆和該第二虛置閘極堆疊埋入其中;以一第一替換閘極堆疊和一第二替換閘極堆疊分別取代該第一虛置閘極堆疊和該第二虛置閘極堆疊;進行一第一蝕刻製程以形成第一開口,其中該第一替換閘極堆疊的一部分和該第二替換閘極堆疊的一部分被移除;填充該第一開口以形成一介電隔離區;進行一第二蝕刻製程以形成一第二開口,其中該層間介電質被蝕刻,並且該介電隔離區暴露於該第二開口;在該第二開口中形成一接觸間隔物;以及在該第二開口中填充一接觸插塞,其中該接觸插塞位於該接觸間隔物的兩相對部分之間。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一蝕刻製程還包括蝕刻位於該第一虛置閘極堆疊和該第二虛置閘極堆疊之間的該層間介電質的一部分。
  3. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中該第一蝕刻製程還包括蝕刻在該第一虛置閘極堆疊的該部分和該第二虛置閘極堆疊的該部分底下的一隔離區的部分,其中該隔離區延伸到該半導體區底下的一半導體基底中。
  4. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中在該第二蝕刻製程之後,該介電隔離區中的一空隙連接到該第二開口以形成一連續開口,且其中該接觸間隔物將該空隙與該第二開口分開。
  5. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中填充該接觸插塞包括:沉積一金屬層,該金屬層包括延伸到該第二開口中的一部分,其中該金屬層的該部分被該接觸間隔物包圍;使該金屬層與底下的一源極/汲極區反應,以形成一矽化物區;以及填充一金屬區到該第二開口中。
  6. 如申請專利範圍第1或2項所述之半導體裝置的形成方法,其中在該第二蝕刻製程中蝕刻該介電隔離區,且以比蝕刻該層間介電質更低的一蝕刻速率蝕刻該介電隔離區。
  7. 一種半導體裝置的形成方法,包括:形成一蝕刻遮罩,其中一第一金屬閘極的一部分、在該第一金屬閘極的相對兩側上的一閘極間隔物、以及在該閘極間隔物的一側上的一層間介電質經由該蝕刻遮罩露出;進行一第一蝕刻製程,以在該層間介電質中形成一第一開口,其中該第一金屬閘極、該閘極間隔物和該層間介電質的露出部分被移除;以一介電隔離區填充該第一開口;進行一第二蝕刻製程,以在該層間介電質中形成一第二開口,其中在該第一金屬閘極的一側上的一源極/汲極區經由該第二開口露出;沉積一介電層,其中該介電層延伸到該第二開口中;以及移除在該第二開口的底部的該介電層的一底部,在該第二開口的側壁上具有該介電層的留下部分以形成一接觸間隔物,並且該接觸間隔物的側壁與該介電隔離區的側壁接觸。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中在該第一蝕刻製程中還蝕刻與該第一金屬閘極相鄰的一第二金屬閘極,並且該第一 開口從該第一金屬閘極連續延伸到該第二金屬閘極。
  9. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中在該第二蝕刻製程中,蝕刻位於該第一金屬閘極和該層間介電質底下的一隔離區,並且該第二開口延伸到該隔離區底下的一塊狀半導體基底中。
  10. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中在該第二蝕刻製程中,蝕刻該介電隔離區的一部分,以將該介電隔離區中的一空隙與該第二開口連接,且其中該接觸間隔物將該第二開口與該空隙分開。
  11. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中當蝕刻該層間介電質以形成該第二開口時,在該層間介電質中形成一第三開口,且該介電隔離區的一第一端部暴露於該第二開口,並且位於該介電隔離區的該第一端部和一第二端部之間的一中間部分暴露於該第三開口。
  12. 一種半導體裝置,包括:一第一金屬閘極;一介電隔離區,將該第一金屬閘極分隔成一第一部分和一第二部分;一源極/汲極區,在該第一金屬閘極的該第一部分的一側上,並且該源極/汲極區與該第一部分形成一第一電晶體;一層間介電質,將該第一金屬閘極和該源極/汲極區埋入於其中;一接觸插塞,延伸到該層間介電質中,以電性耦接至該源極/汲極區;以及一介電接觸間隔物,其包括位於該接觸插塞的兩側上並與該接觸插塞接觸的部分,其中該介電接觸間隔物的一側壁與該介電隔離區的一側壁接觸。
  13. 如申請專利範圍第12項所述之半導體裝置,其中該介電隔離區包括一空隙,並且該空隙延伸到該介電接觸間隔物,使該介電接觸間隔物的該側壁暴露於該空隙。
  14. 如申請專利範圍第12或13項所述之半導體裝置,還包括一第二 金屬閘極,其中該介電隔離區還將該第二金屬閘極分隔成一第三部分和一第四部分。
TW108116369A 2018-06-25 2019-05-13 半導體裝置及其形成方法 TWI701830B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/016,935 2018-06-25
US16/016,935 US11107902B2 (en) 2018-06-25 2018-06-25 Dielectric spacer to prevent contacting shorting

Publications (2)

Publication Number Publication Date
TW202002280A TW202002280A (zh) 2020-01-01
TWI701830B true TWI701830B (zh) 2020-08-11

Family

ID=68885882

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108116369A TWI701830B (zh) 2018-06-25 2019-05-13 半導體裝置及其形成方法

Country Status (5)

Country Link
US (3) US11107902B2 (zh)
KR (1) KR102099747B1 (zh)
CN (1) CN110634799B (zh)
DE (1) DE102018115901A1 (zh)
TW (1) TWI701830B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US11315933B2 (en) * 2018-06-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and method for forming the same
US12002715B2 (en) 2019-10-29 2024-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11521969B2 (en) * 2020-01-29 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for semiconductor devices
DE102020120099A1 (de) * 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co. Ltd. Isolationsstrukturen für halbleitervorrichtungen
US11177180B2 (en) * 2020-02-11 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control of a gap fill structure
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11532479B2 (en) 2020-04-01 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate refill with void
US11348917B2 (en) * 2020-04-30 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with isolation structure
US11289383B2 (en) 2020-05-27 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11495464B2 (en) * 2020-07-08 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN114078762B (zh) * 2020-08-14 2024-03-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11502000B2 (en) * 2020-08-24 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom lateral expansion of contact plugs through implantation
KR20220054999A (ko) * 2020-10-26 2022-05-03 삼성전자주식회사 반도체 장치
US11532744B2 (en) 2020-10-26 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gate cut structure and method of forming the same
US11682675B2 (en) * 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11791403B2 (en) * 2021-08-28 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US20240112916A1 (en) * 2022-09-30 2024-04-04 Intel Corporation Metal gate cut formed after source and drain contacts

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160133632A1 (en) * 2014-11-12 2016-05-12 Hong-bae Park Integrated circuit device and method of manufacturing the same
US20180174904A1 (en) * 2016-11-29 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Self-Aligned Spacers and Method Forming Same

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184129B1 (en) * 1998-09-29 2001-02-06 Texas Instruments Incorporated Low resistivity poly-silicon gate produced by selective metal growth
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
US20020132403A1 (en) * 1999-11-30 2002-09-19 Cheng-Yu Hung Method of fabricating a self-align contact with a disposable spacer
KR100555569B1 (ko) * 2004-08-06 2006-03-03 삼성전자주식회사 절연막에 의해 제한된 채널영역을 갖는 반도체 소자 및 그제조방법
US7915111B2 (en) * 2007-08-08 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-K/dual metal gate
US7932146B2 (en) * 2008-03-20 2011-04-26 United Microelectronics Corp. Metal gate transistor and polysilicon resistor and method for fabricating the same
TW201019473A (en) * 2008-11-13 2010-05-16 Anpec Electronics Corp Semiconductor device with a low JFET region resistance
US8629506B2 (en) 2009-03-19 2014-01-14 International Business Machines Corporation Replacement gate CMOS
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8551847B2 (en) * 2011-03-24 2013-10-08 United Microelectronics Corp. Method for forming metal gate
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US20130059434A1 (en) * 2011-09-07 2013-03-07 Tao Yang Method for manufacturing electrodes and wires in gate last process
US8796130B2 (en) * 2012-01-18 2014-08-05 International Business Machines Corporation Diffusion barrier for oppositely doped portions of gate conductor
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8404544B1 (en) * 2012-04-13 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication methods of integrated semiconductor structure
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9219153B2 (en) * 2013-08-21 2015-12-22 Globalfoundries Inc. Methods of forming gate structures for FinFET devices and the resulting semiconductor products
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9159812B1 (en) * 2014-03-26 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Fin sidewall removal to enlarge epitaxial source/drain volume
US9472418B2 (en) * 2014-03-28 2016-10-18 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9490129B2 (en) 2014-05-08 2016-11-08 GlobalFoundries, Inc. Integrated circuits having improved gate structures and methods for fabricating same
US10068982B2 (en) * 2014-05-29 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure with metal gate
KR102234799B1 (ko) * 2014-08-14 2021-04-02 삼성전자주식회사 반도체 장치
KR102290793B1 (ko) * 2014-12-18 2021-08-19 삼성전자주식회사 반도체 장치, 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
EP3113216B1 (en) * 2015-07-01 2021-05-19 IMEC vzw A method for bonding and interconnecting integrated circuit devices
KR102444236B1 (ko) * 2015-08-25 2022-09-16 삼성전자주식회사 자기 소자 및 그 제조 방법
US9553088B1 (en) 2015-09-24 2017-01-24 International Business Machines Corporation Forming semiconductor device with close ground rules
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10340348B2 (en) * 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US10083961B2 (en) 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US9852947B1 (en) 2016-09-21 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Forming sidewall spacers using isotropic etch
DE102017118475B4 (de) 2016-11-29 2022-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selbstjustierte abstandshalter und verfahren zu deren herstellung
US10516030B2 (en) * 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10062784B1 (en) * 2017-04-20 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned gate hard mask and method forming same
US10297602B2 (en) 2017-05-18 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Implantations for forming source/drain regions of different transistors
US10269636B2 (en) * 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
KR102323733B1 (ko) * 2017-11-01 2021-11-09 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
US10312150B1 (en) * 2018-03-13 2019-06-04 Globalfoundries Inc. Protected trench isolation for fin-type field-effect transistors
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US11251284B2 (en) * 2019-10-29 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate cutting process and resulting gate structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160133632A1 (en) * 2014-11-12 2016-05-12 Hong-bae Park Integrated circuit device and method of manufacturing the same
US20180174904A1 (en) * 2016-11-29 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Self-Aligned Spacers and Method Forming Same

Also Published As

Publication number Publication date
CN110634799B (zh) 2022-04-08
US11107902B2 (en) 2021-08-31
CN110634799A (zh) 2019-12-31
DE102018115901A1 (de) 2020-01-02
US20190393324A1 (en) 2019-12-26
US20200013875A1 (en) 2020-01-09
KR20200000784A (ko) 2020-01-03
US20220285529A1 (en) 2022-09-08
KR102099747B1 (ko) 2020-04-13
TW202002280A (zh) 2020-01-01
US11342444B2 (en) 2022-05-24

Similar Documents

Publication Publication Date Title
TWI701830B (zh) 半導體裝置及其形成方法
US10186511B2 (en) Metal gate isolation structure and method forming same
KR102105116B1 (ko) 유전체 게이트 위의 콘택트를 갖는 finfet 디바이스를 위한 구조체 및 방법
US10163903B2 (en) FETS and methods of forming FETS
TWI668744B (zh) 半導體裝置及其形成方法
KR20170095174A (ko) 반도체 디바이스 및 그 제조 방법
CN109727854B (zh) 半导体装置及其形成方法
KR102107623B1 (ko) 금속 절단 공정에서의 푸팅 제거
TWI742634B (zh) 積體電路裝置及其形成方法
US20210296185A1 (en) Semiconductor device and manufacturing method thereof
US11488874B2 (en) Semiconductor device with funnel shape spacer and methods of forming the same
TW202131389A (zh) 半導體結構及其形成方法
TW202109623A (zh) 形成半導體裝置的方法
TW202143300A (zh) 半導體裝置及其製造方法
KR102546906B1 (ko) Finfet 디바이스 및 방법
KR102623756B1 (ko) 격리 영역에서의 바람직한 치수를 가진 심 형성
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
TWI809447B (zh) 半導體結構及其形成方法