JP2011517841A - 狭間隔のラインを含む構造の上に信頼性の高い層間絶縁材料を形成するための技術 - Google Patents

狭間隔のラインを含む構造の上に信頼性の高い層間絶縁材料を形成するための技術 Download PDF

Info

Publication number
JP2011517841A
JP2011517841A JP2010514851A JP2010514851A JP2011517841A JP 2011517841 A JP2011517841 A JP 2011517841A JP 2010514851 A JP2010514851 A JP 2010514851A JP 2010514851 A JP2010514851 A JP 2010514851A JP 2011517841 A JP2011517841 A JP 2011517841A
Authority
JP
Japan
Prior art keywords
interlayer insulating
etch stop
layer
insulating material
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010514851A
Other languages
English (en)
Other versions
JP5266319B2 (ja
Inventor
ヒューテル フランク
フロバーグ カイ
ピーター カルステン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2011517841A publication Critical patent/JP2011517841A/ja
Application granted granted Critical
Publication of JP5266319B2 publication Critical patent/JP5266319B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

SACVDによって堆積した層間絶縁材料(207,307)の余分な材料を除去することにより、SACVDの堆積法のギャップフィル能を利用する一方で、当該材料の悪影響が低減される。別の態様では、SACVDに基づいて層間絶縁材料(207,307)を堆積する前に、バッファ材料(360)(二酸化シリコンなど)が形成されてもよく、これにより、異なる高い固有応力レベルを有する誘電層上に層間絶縁材料(207,307)を堆積させる際の、堆積プロセスの均一性が改善される。したがって、層間絶縁材料(207,307)の信頼性を向上できる一方で、SACVD堆積によって得られる利点を温存することができる。

Description

一般に、本開示は、集積回路の形成に関し、より詳細には、ゲート電極、ポリシリコン相互接続線などの狭間隔のラインを含む回路要素の間およびその上に誘電中間層を形成することに関する。
集積回路の製造時には、特定の回路レイアウトに従って、あるチップ領域に多数の回路要素が形成される。一般に、複数のプロセス技術が現在実施されており、マイクロプロセッサ、記憶チップなどの複雑な回路では、シリコンをベースとしたMOS技術は、動作速度および/または消費電力および/または対費用効果の点でその優れた特性により、現在最も有望なアプローチである。MOS技術を使用して複雑な集積回路を製造する際には、何百万ものトランジスタ(すなわちNチャネルトランジスタおよび/またはPチャネルトランジスタ)が、シリコン系の層などの結晶性半導体層を有する基板に形成される。MOSトランジスタは、NチャネルトランジスタとPチャネルトランジスタのいずれの場合についても、いわゆるPN接合を有し、これは、高濃度にドープされたドレイン領域およびソース領域と、ドレイン領域とソース領域の間に配置された低濃度に(lightly)ドープされたチャネル領域との界面によって形成される。チャネル領域の電気伝導度(すなわち導電チャネルが電流を流す能力)は、ライン状の部分を有し、チャネル領域の上に形成され、薄い絶縁層によってチャネル領域から絶縁されているゲート電極によって制御される。
一般に、MOSトランジスタ、キャパシタ、抵抗などの回路要素は、共通の層(以降、この層を「デバイス層」と呼ぶ)内に形成される。これに対し、「配線」、すなわち回路設計に従った回路要素の電気的接続は、デバイス層内のポリシリコンラインなどにより、ある程度しか形成されないため、デバイス層の上に、1つ以上の追加の「配線」層の形成が必要となることがある。このような配線層には、二酸化シリコン、窒化シリコンなどの適切な誘電材料に埋め込まれた金属配線が含まれるか、あるいは高度なデバイスでは、誘電率が3.0以下のlow−k材料が使用される。以降、金属配線とその周囲の誘電材料を「メタライゼーション層」と呼ぶ。2層の積層された隣接するメタライゼーション層間と、デバイス層と第1のメタライゼーション層間とに、個々の誘電中間層が形成され、金属配線間または回路要素と金属配線間に導通をとるために、誘電中間層を貫通して、金属を埋め込んだ開口が形成される。代表的な用途では、デバイス層を第1のメタライゼーション層から分離する誘電中間層は、主として二酸化シリコンから形成される。この二酸化シリコンは、実績のあるプラズマ化学気相成長法(PECVD)法により、誘電エッチストップ層の上に堆積され、PECVD法は、十分なコンフォーマル性を有する滑らかで高密度の二酸化シリコン膜を、適度に高い堆積速度で形成することができる。たゆみないデバイスの微細化により、MOSトランジスタのゲート長が約50nm以下のオーダーに達したことで、ポリシリコンライン、ゲート電極などの隣接する回路要素間の距離も短くなっており、現在、最新のCPUでは200nm以下に達し、密に充填されたポリシリコンライン間のスペース幅が約100nm以下となっている。しかし、エッチストップ層の材料として使用されることが多い窒化シリコンと、層間絶縁膜として使用されることが多い二酸化シリコンを堆積するための実績のある高速PECVD法のギャップフィル能は、図1a〜1bを参照して更に詳細に説明するように、誘電中間層を確実に形成するには十分ではないことがわかっている。このため、高い埋め込み能を提供する埋め込み法が求められている。
図1aにおいて、半導体デバイス100は、デバイス層102が形成されているバルクシリコン基板またはシリコンオンインシュレータ(SOI)基板などの基板101を有する。デバイス層102は、例えば、シリコン系の層110を有し、この層110に、狭間隔のポリシリコンライン104を含む構造103が形成されている。デバイス層102は、実質的に結晶性のシリコン領域などであり、電界効果トランジスタ、キャパシタなどの回路要素が形成されている。構造103が、複数の密なポリシリコンラインを含む領域であっても、ライン104が、トランジスタ素子のゲート電極部分でもよい。ライン104には、その側面(sidewalk)に、通常はゲート電極構造を形成するために使用される、対応するスペーサ構造105が形成されている。スペーサ構造105には、オフセットスペーサ105Aと、1つ以上の「外側」スペーサ105Cと、個々のスペーサ105Cを形成するためのエッチングプロセス中にエッチストップ層として機能しうるライナ105Bといった、複数のスペーサが含まれうる。構造103は、通常は窒化シリコンを含み、層110とライン構造103を覆うようにデバイス層102の上に形成されたエッチストップ層109も更に有する。エッチストップ層109の上には、ライン構造103を完全に囲むように二酸化シリコン層107が形成されている。
図1aに示す半導体デバイス100を形成するための代表的な従来のプロセスフローには、以下のプロセスが含まれうる。トランジスタ、キャパシタ、ライン構造103などの回路要素を形成するために、実績のあるリソグラフィ法、堆積法、エッチング法、注入法、およびほかの方法を含む製造プロセスを実施した後に、通常はPECVDによってエッチストップ層109が形成される。この理由は、窒化シリコンのPECVDは、前に実施した製造プロセスおよび材料(例えば金属シリサイドなど)と適合する約600℃未満の適度に低い温度で実施できるためである。従来技術の多くでは、エッチストップ層109は、ライン104の下の領域108に歪みを発生させるための歪み誘発源として機能するように、高い固有応力レベルが与えられうる。ライン104がゲート電極の場合には、領域108はトランジスタのチャネル領域となり、この領域に歪みを発生させることにより、電荷キャリア移動度を変えることができる。例えば、半導体層110の標準的な結晶配向の場合、すなわち、層110が表面配向(100)を有するシリコン系の材料であり、チャネル長が<110>方向に配向している場合、領域108に圧縮歪みを与えるとホールの移動度が上がり、引張歪みを与えると電子の移動度が上がりうる。電荷キャリア移動度が上がると、電流駆動能力および動作速度に関するトランジスタ性能が直接的に向上する。トランジスタ性能を選択的に向上させるために、エッチストップ層109が、所望の大きさと種類の固有応力が得られるように適切に選択されたプロセスパラメータに基づいて堆積されうる。例えば、PECVDにより、堆積パラメータに応じて高い引張応力または圧縮応力を有する窒化シリコンが堆積されうる。また、N型トランジスタとP型トランジスタの両方の性能を向上させるために、実績のあるプロセスシーケンスを使用して、固有応力の種類が異なるエッチストップ層109の一部分が、異なるトランジスタの上に選択的に形成されうる。
上で説明したように、特徴のサイズのとぎれない微細化により、隣接する回路要素間の距離(狭間隔のライン104間の距離111など)も短くなり、約100nm、場合によっては距離111が30nmにも達し、90nm技術ノードのCPUでは更に短くなっている。このため、高密度間隔のライン104間の空きスペースを確実かつ完全に埋めるために、開放スペースによって離間されたライン構造103を埋め込むための誘電層を形成する堆積技術は、適切な埋め込み能という要件を満たす必要がある。層109は、窒化シリコン用のPECVDプロセスレシピにより、約10〜100nmの範囲の膜厚で若干コンフォーマルに堆積されうる。構造の個々の部分の上に、おそらく種類の異なる固有応力が付与され、特に、ボイド106aの形成を阻止する必要がある場合には、高度な堆積およびパターニングの戦略が要求される。
続いて、二酸化シリコン層107が堆積される。二酸化シリコン層107の堆積は、さほどクリティカルではない用途では、通常、前駆物質のTEOS(テトラエチルオルソシリケート)と酸素に基づくPECVDによって行われる。この理由は、PECVDは、熱TEOS化学気相成長法(CVD)とは逆に、適度にコンフォーマルな二酸化シリコンを堆積することが可能であるが、熱CVDよりもギャップフィル性が低く、600℃未満の温度で高い堆積速度で比較的高い機械的安定性を有し、高い製造歩留りが得られる。
しかし、距離111が、約30nm、場合によってはこれより短距離に近づくにつれ、優れた材料特性を有する二酸化シリコンを堆積するためのTEOSと酸素に基づく実績のあるPECVD法の埋め込み能は、ライン104間の空きスペースを完全に埋めるには適しておらず、ボイド106bが形成される可能性があることがわかっている。ボイドは、その後実施する半導体デバイス100の処理中(すなわち、形成するメタライゼーションレベルに、構造103の個々の要素間の電気的接続を与えるコンタクトの形成時)に、重大な信頼性上の問題を発生させる可能性がある。また、二酸化シリコン層107は、デバイス層102の下の構造(例えば、ライン構造103)によって発生する、ある程度の凹凸形状(topography)を有し、後続の製造プロセス(層110にある回路要素の下の部分またはライン104へのコンタクト開口を形成するためのフォトリソグラフィ工程など)に問題を引き起こす可能性がある点に留意すべきである。したがって、標準的なプロセスフローでは、二酸化シリコン層107を、通常は化学機械研磨(CMP)によって平坦化する必要がある。CMPでは、二酸化シリコン層107の表面を、最終的に実質的に平坦にするために、二酸化シリコン層107の余分な材料が、スラリーおよび研磨パッドとの化学的および機械的な相互作用によって除去される。CMPプロセス自体は、極めて複雑なプロセスであり、二酸化シリコン層107の特性(密度、機械的応力、含水率など)に大きく依存する高度なプロセスレシピを必要とする。このため、PECVD TEOS二酸化シリコンは、シリコン系の半導体デバイスの誘電中間層に使用されることが多く、ほかの半導体から形成されるデバイスにおいても使用されるため、PECVD TEOS二酸化シリコンのための信頼性が高く、再現性のあるCMPプロセス用の対応するプロセスレシピを開発するために、多大な努力が必要である。
上記の理由により、窒化シリコン層109上に形成される誘電層107は、ボイド106bの形成を防ぐために、極めて高いギャップフィル能を有する異なる堆積法によって堆積されうる。このため、二酸化シリコン層107は、優れたギャップフィル能を示す二酸化シリコン膜を形成する、TEOSとオゾンに基づく熱CVDプロセスによって形成される。すなわち、この堆積法は、フロー様の挙動も示し、ライン104間の空きスペースを確実に埋める。熱CVDプロセスは、膜特性および堆積特性の観点から、一般に、プラズマ堆積法よりも非常に高圧(例えば、200〜760Torrの範囲)で実施され、このため、準常圧化学気相成長法(SACVD)とも呼ばれる。しかし、例えば、SACVDによって形成した層107は、PECVD酸化物よりも水分を吸収しやすく、脱ガス速度が高いため、SACVD酸化物の材料特性とプロセス特性は、PECVD酸化物とは大きく異なりうる。更に、堆積速度が低く、スループットの低下を招く。上記の理由により、ギャップフィル材料として使用される中間材料として層107が設けられ、その後、層間絶縁材料の少なくとも上部分に対して、所望の堆積速度と高い材料特性を与えるために、PECVDによって追加の二酸化シリコン層107Aが堆積されうる。このため、その後実施する処理(層間絶縁材料107Aの平坦化など)において、実績のあるプロセス技術が使用されうるが、SACVD酸化物は材料特性が劣るため、最終的な層間絶縁材料、ひいては構造103の全体的な信頼性に悪影響を及ぼしうる。
図1bは、別の説明のための例による半導体デバイス100を模式的に示す。この例では、所望の高いギャップフィル能を有する堆積プロセスの結果、その後実施するデバイス100の処理時の不均一性が増大している。図に示すように、デバイス100は高い固有応力レベル(例えば高い圧縮応力)を有する第1の部分109Aと、逆の挙動の高い固有応力レベル(例えば引張応力)を有する第2の部分109Bの形のエッチストップ層を有しうる。上で説明したように、構造103のライン104はトランジスタのゲート電極構造であり、上で説明したように、個々のチャネル領域108に、適切に選択された種類の歪みを発生させることで、トランジスタ性能を改善することができる。部分109A,109Bの形成時に、所望の高い固有応力レベルを得るために、堆積圧力、温度、前駆物質の流量、イオン衝撃などの個々の堆積パラメータが調整されうる。例えば、実績のあるプロセスレシピに従って、応力印加(stressed)誘電材料が極めてコンフォーマルに堆積され、その後、部分109Aを得るために、誘電材料の一部が除去されうる。その後、部分109Bとは逆の固有応力レベルを有する誘電材料が堆積され、その誘電材料の不要な部分が、部分109Aの上から除去され、図1bに示す構成が得られる。
上記の製造プロセス中は、高密度間隔のライン104間にボイドが形成されるのを実質的に防止するために、極めてコンフォーマルな堆積挙動が得られるように、個々の堆積パラメータが選択されうる。その後、ライン104間のスペースが確実に埋め込まれることを保証するために、上で説明したように、準常圧堆積プロセスに基づいて層間絶縁材料107またはその一部が堆積されうる。しかし、この堆積プロセスの成長速度は、高い圧縮応力を有する材料と、引張応力が印加された誘電材料とでは異なるため、部分109Aと部分109Bとで、その上の層間絶縁材料107の層の厚さが変わってしまうことがわかっている。したがって、その後実施する処理(材料107Aなどの追加の層間絶縁材料の形成、得られた表面凹凸形状の平坦化など)の際に、プロセスの不均一性が増大し、この結果、例えば、平坦度の低下などに鑑みて、個々のデバイスの均一性が低下してしまう。
このため、二酸化シリコンのための準常圧堆積法の高いギャップフィル能は、特に密に充填されたライン構造およびゲート電極において、構造的な欠陥を防ぐうえで非常に有利となりうる。しかし、特に高度に微細化された半導体デバイスでは、材料特性の低さと共に、おそらく堆積特有の不均一性のため、信頼性の低下とデバイスの不均一性の増大を招く可能性がある。
本開示は、上に記載した問題の影響の1つ以上を回避することができるか、少なくとも低減させることができる各種の手法およびデバイスを対象としている。
以下では、本発明の一部の態様の基本を理解できるように、発明の概要を説明する。この概要は、本発明の全てを概観するものではない。本発明の主要または重要な要素を特定したり、本発明の範囲を詳細に記載することを意図するものでもない。その唯一の目的は、下で説明する詳細な説明に先だって、概念の一部を簡潔に示すことにある。
一般に、本明細書に開示の主題は、例えば準常圧堆積法に基づいて、層間絶縁材料の形成中に高いギャップフィル能が保たれる一方で、吸水の増大、脱ガスの増大、機械的安定性の低下の点での低材料特性、堆積特有の特性(低堆積速度、下地材料に依存する堆積速度の差など)などの悪影響が大幅に低減されるプロセス技術および半導体デバイスを対象としている。このために、所望の材料特性を有する層間絶縁材料を提供する前に、ギャップフィル能の高い堆積法によって堆積する層間絶縁材料の量を減らすか、適切なコンフォーマルなバッファ層を提供することにより、所望の高いギャップフィル能を有するプロセス時の堆積挙動が均一化されるか、この両方が行われうる。
本明細書に開示の1つの例示的な方法は、半導体デバイスの、高密度間隔のライン状特徴を有する回路要素の上にエッチストップ材料を形成するステップを有する。前記方法は、前記高密度間隔のライン状特徴間に形成されたスペースを実質的に埋めるように設計された第1の堆積プロセスによって、前記回路要素および前記エッチストップ材料の上に第1の層間絶縁材料を形成するステップを有する。また、前記スペースの少なくとも一部に前記第1の層間絶縁材料が埋め込まれて残るように、前記第1の層間絶縁材料の一部が除去され、次に、前記第1の層間絶縁材料の上に第2の層間絶縁材料が形成される。
本明細書に開示の別の例示的な方法は、第1のトランジスタの上に、圧縮固有応力を有する第1のエッチストップ層を形成するステップを有する。前記方法は、第2のトランジスタの上に、引張固有応力を有する第2のエッチストップ層を形成するステップを更に有する。また、前記第1のトランジスタおよび前記第2のトランジスタのうちの隣接するトランジスタ間のスペースに実質的にコンフォーマルな堆積挙動を与える第1の堆積法によって、前記第1のエッチストップ層および前記第2のエッチストップ層の上にバッファ層が形成される。最後に、前記方法は、前記第1の堆積法よりもギャップフィル能の高い第2の堆積法によって、前記バッファ層上に層間絶縁材料の少なくとも一部を形成するステップを有する。
本明細書に開示の1つの例示的な半導体デバイスは、半導体領域の上に形成された、複数の密に充填されたゲート電極構造を有し、前記ゲート電極構造のうちの隣接する2つの間にスペースが画定されている第1のデバイス領域を有する。前記半導体デバイスは、前記複数のゲート電極構造の上に形成されたエッチストップ材料と、二酸化シリコンを含む第1の層間絶縁材料とを有し、前記第1の層間絶縁材料は、前記スペース内で、前記複数のゲート電極構造と前記エッチストップ材料とによって規定される高さレベルよりも低い高さレベルで設けられている。また、前記半導体デバイスは、二酸化シリコンを含む第2の層間絶縁材料を有し、前記第2の層間絶縁材料は、前記第1の層間絶縁材料の上に形成され、前記第1の層間絶縁材料よりも吸水能が低い。
従来の戦略による、高いギャップフィル能を有する堆積法に基づいて層間絶縁材料を形成する際の各種製造段階における、密に充填されたライン構造(ゲート電極など)を有する半導体デバイスの断面図。 従来の戦略による、高いギャップフィル能を有する堆積法に基づいて層間絶縁材料を形成する際の各種製造段階における、密に充填されたライン構造(ゲート電極など)を有する半導体デバイスの断面図。 本明細書に開示の例示的な実施形態により、ギャップフィル能の高い堆積プロセスを使用して層間絶縁材料を形成する一方、このプロセスにより堆積する材料の全体的な量を低いレベルに保つための各種製造段階における、半導体デバイスの断面図。 本明細書に開示の例示的な実施形態により、ギャップフィル能の高い堆積プロセスを使用して層間絶縁材料を形成する一方、このプロセスにより堆積する材料の全体的な量を低いレベルに保つための各種製造段階における、半導体デバイスの断面図。 本明細書に開示の例示的な実施形態により、ギャップフィル能の高い堆積プロセスを使用して層間絶縁材料を形成する一方、このプロセスにより堆積する材料の全体的な量を低いレベルに保つための各種製造段階における、半導体デバイスの断面図。 更に別の例示的な実施形態により、不要な層間絶縁材料の量を減らすための材料除去プロセス中に高い制御が得られる、図2a〜2cに示す半導体デバイスの断面図。 本明細書に開示の更に別の例示的な実施形態によるバッファ層に基づいて、固有応力レベルの異なる誘電材料上の個々の成長速度の均一性が改善される、高いギャップフィル能を有する堆積プロセスに基づいて層間絶縁材料の一部を形成するためのシーケンス中の、半導体デバイスの断面図。 本明細書に開示の更に別の例示的な実施形態によるバッファ層に基づいて、固有応力レベルの異なる誘電材料上の個々の成長速度の均一性が改善される、高いギャップフィル能を有する堆積プロセスに基づいて層間絶縁材料の一部を形成するためのシーケンス中の、半導体デバイスの断面図。 本明細書に開示の更に別の例示的な実施形態による高いギャップフィル能を有する堆積法によって堆積する層間絶縁材料の量を減らすために追加のプロセスステップが実行されうる、図3a〜3bに示す半導体デバイスの断面図。 本明細書に開示の更に別の例示的な実施形態による高いギャップフィル能を有する堆積法によって堆積する層間絶縁材料の量を減らすために追加のプロセスステップが実行されうる、図3a〜3bに示す半導体デバイスの断面図。
添付の図面と併せて下記の説明を読めば、本発明が理解されるであろう。添付の図面においては、同一の参照符号は同じ要素を参照している。
本明細書に記載の主題は、種々の変形および代替形態を取り得るが、その特定の実施形態が、図面に例として図示され、ここに詳細に記載されているに過ぎない。しかし、この特定の実施形態の詳細な説明は、本発明を開示した特定の形態に限定することを意図するものではなく、反対に、添付の特許請求の範囲によって規定される本発明の趣旨ならびに範囲に含まれる全ての変形例、均等物および代替例を含む点に留意すべきである。
本発明の各種の例示的な実施形態を下記に記載する。簡潔を期すために、実際の実装の特徴を全て本明細書に記載することはしない。当然、実際の実施形態の開発においては、システム上の制約およびビジネス上の制約に適合させるなど、開発の具体的な目的を達成するために、実装に固有の判断が数多く必要とされ、これは実装によって変わるということが理解される。更に、この種の開発作業は複雑かつ時間がかかるものであるが、本開示の利益を受ける当業者にとって日常的な作業であるということを理解されたい。
次に、添付の図面を参照して本主題を説明する。説明のみを目的として、当業者に知られている細かい点を説明して本開示をわかりにくくすることのないように、さまざまな構造、システムおよびデバイスが、図面で模式的に示されている。しかし、本開示の例示的な例を記載および説明するために、添付の図面を添付する。本明細書において使用される語句は、関連技術の当業者が理解している意味と同じ意味に使用されていると理解および解釈すべきである。本明細書においてある語句が矛盾なく用いられている場合、その語句が特別な定義を有する、すなわち通常かつ慣用的に用いられ、当業者が理解している意味と異なる定義を有することはない。ある語句が特別な意味を有する、すなわち当業者の理解とは異なる意味に用いられる場合は、そのような特別な定義は本明細書に明示的に記載して、その特別な定義を直接的かつ明確に示す。
本明細書に開示の主題は、低材料特性および/または堆積特有の不均一性によって発生しうる層間絶縁膜の信頼性の低下の問題に対処する。上記主題において、少なくとも密に充填された回路要素のスペース内に層間絶縁材料を形成するために、高いギャップフィル能を有する堆積法が使用される一方、堆積特有の特性、および/またはほかの実績のある誘電材料(上で説明したように、TEOSに基づくPECVD法に基づいて形成された二酸化シリコンなど)よりも低い材料特性に関連しうる悪影響が低減される。一部の態様では、狭間隔のライン構造間に形成されるスペースの個々のアスペクト比を効率的に低下させるためにギャップフィル能の高い堆積法を使用する一方、他のデバイス領域に余分な材料を実質的に提供せず、これにより望ましくない材料特性を有する層間絶縁材料の全体的な量を減らすことにより、層間絶縁材料の挙動を改善することができる。したがって、層間絶縁材料の主要部分が、個々の堆積法(例えばPECVD)によって得られる優れた材料特性を有して提供され、このため、先に行ったアスペクト比の低下により、個々の堆積プロセス中にボイドの形成を防止するか、その形成の確率を少なくとも実質的に下げることができる。
一部の例示的な実施形態では、特性の低い層間絶縁材料の余分な材料の除去が、エッチングプロセスに基づいて行われうる。その際、個々のエッチング時間を制御することによって、スペースの「高さ(leveling)」の大きさを調整するために、ほかのプロセス材料(例えば下地のエッチストップ層など)に対する選択性が有利に使用されうる。別の例示的な実施形態では、余分な材料の除去は、エッチングプロセスに基づいて行うことができ、このエッチングプロセスは、例えば、後続のエッチングプロセスで除去する下地の材料層の上および/または層間絶縁材料内に、適切なエッチング指標材料またはエッチストップ材料を形成することによって制御でき、対応するエッチングプロセスの基板間のばらつきが低下することにより、プロセスの均一性の改善が可能となる。
本明細書に開示の主題の別の例示的な態様は、固有応力レベルの異なる下層の誘電材料上の堆積速度の差によって発生する信頼性上の問題に、適切なバッファ層を設けることによって対処するものである。このバッファ層は、後から実施する高いギャップフィル能を有する堆積プロセスによる層間絶縁材料の堆積に対する、応力レベルの差による影響を大幅に低減させることができる。この場合、このバッファ層は、後から形成する層間絶縁材料よりも膜厚が低いが、応力レベルの異なる材料が形成されている、さまざまなデバイス領域の上の堆積速度を有効に平均化させる任意の適切な材料の形で提供されうる。一部の例示的な実施形態では、上で説明したように、層間絶縁材料内の材料特性の低い材料の量を所望の低いレベルに抑えるために、バッファ層に堆積する層間絶縁材料が、後からある程度除去されうる。一方、バッファ層は、層間絶縁材料を堆積する際、およびその部分を後から除去する際に、プロセスの均一性を改善させうる。一部の例示的な実施形態では、バッファ層が、個々の成長速度が、下地の材料の固有応力レベルによる影響を受けることを阻止する(decoupling)、任意の適切な材料の形で提供されるか、バッファ層が、例えば、後から実施する処理の際に、その上に堆積する層間絶縁材料を「パッシベーション」する観点からデバイスの安定性を改善し、これによりデバイスの全体的な信頼性を改善するか、この両方が行われうる。
高いギャップフィル能を有する堆積プロセスは、CVDベースのプロセスとして理解されることに留意されたい。このプロセスでは、堆積環境が、適切な前駆材料(プリカーサ、例えばTEOS)に基づく熱的に活性な環境として形成され、堆積環境内の対応する圧力が250Torr以上であり、準常圧堆積プロセス(SACVD)とも呼ばれることがある。別の場合には、高いギャップフィル能を有する堆積プロセスは、CVDベースのプロセスとして理解されてもよく、堆積環境が、例えば約20Torr超などの適度に高圧の周囲プラズマに基づいて形成され、高密度PECVDプロセスとも呼ばれることがある。
図2aは、基板201を有しうる半導体200の断面図を模式的に示し、基板201は、高度な半導体デバイスで必要とされる、その上に回路要素を形成するための任意の適切なキャリア材料などである。例えば、基板201は半導体基板であり、その上部分は、おそらく個々の分離構造(例えば浅部トレンチアイソレーションなど)と共に、結晶性半導体領域を含むデバイス層210を画定しうる。別の場合には、基板201は、絶縁層(図示せず)が形成されているキャリア材料であり、この絶縁層の上に、例えば、結晶性半導体材料の形でデバイス層210が設けられてもよい。この場合、基板201は、デバイス層210と共に、SOI構造とみなすことができる。基板201とデバイス層210の組み合わせは、デバイス要件に応じて、一部のデバイス領域ではバルク構成であっても、別の領域ではSOI構成であってもよいことに留意すべきである。図に示した例示的な実施形態では、半導体デバイス200は、第1のデバイス領域220と第2のデバイス領域230とを有し、第1のデバイス領域220と第2のデバイス領域230は、少なくともこれらに設けられている隣接する構造的特徴間の最小間隔が異なりうる。例示的な一実施形態では、第1のデバイス領域220はライン構造203を有し、これには、ポリシリコンライン、ゲート電極構造などの複数のライン状特徴204が含まれうる。例えば、ライン構造203は、図1a〜1bに示したライン構造103を参照して上で説明したものと実質的に同じ構成を有しうる。このため、ライン204は、電極204を個々のチャネル領域208から分離する、対応するゲート絶縁層208A上に形成されうるゲート電極であってもよい。また、プロセス戦略によっては、図に示した製造段階において、ライン204の隣に個々のスペーサ構造205が形成されうる。
デバイス層210内に伝導度を適切に「パターン形成」するために、デバイス層210内に適切なドーパントプロファイルが先に形成されていてもよいという点に留意すべきである。例えば、当業界において公知のように、適切に選択されたドーパントプロファイルによって、個々のドレイン領域とソース領域(図示せず)が画定されうる。一方、第2のデバイス領域230は、例えば、デバイス層210内に分離構造231が形成されている場合には、表面凹凸形状の低い領域であってもよい。更に、半導体デバイス200はエッチストップ層209も有し、エッチストップ層209は、第1のデバイス領域220および第2のデバイス領域230の上に形成する層間絶縁材料をパターニングするために使用される任意の適切な材料層であってもよい。例えば、上で説明したように、エッチストップ層209は、窒化シリコン、窒素含有シリコンカーバイドなどの、窒素含有材料の形で提供されても、層209が、シリコンカーバイドなどの形で提供されてもよい。一部の例示的な実施形態では、図3a〜3dを参照して下で更に詳細に説明するか、図1bを参照して説明したように、エッチストップ層209には、回路要素の種類(例えばPチャネルトランジスタやNチャネルトランジスタ)に応じて異なる高い固有応力レベルが付与されうる。また、デバイス200は、隣接するライン状特徴204間のスペース211にボイドが実質的に形成されないようにするため、第1のデバイス領域220と第2のデバイス領域230との上に形成された第1の層間絶縁材料207も有する。上で説明したように、図2aの水平方向におけるスペース211の横のサイズが100nmのオーダーであるため、上で説明したように、構造203は「狭間隔の(closely spaced)」または「密に充填された(densely packed)」ライン構造と呼ばれることもある。
図2aに示す半導体デバイス200は、デバイス100を参照して上で説明したのと同様のプロセスまたは技術に基づいて形成されうる。すなわち、デバイス層210を有する基板201が提供され、例えば、分離構造231を形成することにより、第1のデバイス領域220と第2のデバイス領域230を画定するために適切にパターニングされ、その後、個々の回路要素(ライン構造203など)が、実績のあるプロセス技術に基づいて形成されうる。このために、実績のある高度なリソグラフィ法、堆積法、酸化法、エッチング法、および平坦化法に基づいて、絶縁層208Aと共にライン204が形成され、ライン204がゲート電極構造である場合には、その後、個々のトランジスタ構造を得るための適切なドーパントプロファイル(図示せず)が画定される。その後、必要に応じて金属シリサイド領域(図示せず)が形成され、続いて、実績のある堆積法によってエッチストップ層209が堆積され、これにより、例えば、エッチング選択性、固有応力レベルなどに関して所望の材料特性が与えられる。
上で説明したように、第1のデバイス領域220内の異なるデバイスに、大きさまたは種類の異なる固有応力が付与される場合には、エッチストップ層209の形成に、複数の堆積プロセスとエッチングプロセスが実施されてもよい。スペース211内に構造的欠陥(ボイドなど)が形成されるのを実質的に防止するために、高いギャップフィル能を有する適切なプロセス技術に基づいて、第1の層間絶縁材料207が堆積されうる。スペース211のアスペクト比は、スペーサ構造205を含むライン204の構成とエッチストップ層209の特性とによって決定されうる。例示的な一実施形態では、層207を二酸化シリコン系の材料として形成するために、層207がTEOSに基づくSACVDプロセスによって堆積されうる。この堆積プロセスは、極めて非コンフォーマルな堆積挙動を与え、これにより、好ましくは残りのスペース211が埋め込まれる。スペース211に対応する膜厚T1が、ライン204の実質的に水平部分の膜厚T2よりも厚くなるように、第1のデバイス領域220において層207の膜厚は変わりうる。更に、第2のデバイス領域230における膜厚T3は、膜厚T1とは異なり、SACVDプロセスの実質的にフロー様の堆積挙動により、膜厚T2と同等の値となりうる。
上で説明したように、一部の例示的な態様では、層207の材料の量を、従来の戦略よりも大幅に減らすことができ、実質的に材料を過剰に提供することなく、スペース211が確実に充填されるように、層207の堆積を制御することができる。例えば、層207は、デバイス要件に応じて、例えば、約100〜300nmの値の膜厚のT2またはT3で示す膜厚が得られるように堆積されうる。
図2bは、製造が更に進んだ段階の半導体デバイス200を模式的に示す。図に示すように、デバイス200が、下地の材料(エッチストップ層209など)に対して、層207の材料を選択的に除去するように設計されたエッチング環境240に露出される。一部の例示的な実施形態では、エッチング環境240は、エッチストップ層209に対して極めて高い選択性を示すエッチング化学物質を含むプラズマ系の雰囲気に基づいて形成されうる。例えば、層間絶縁材料207は、二酸化シリコン系の材料の形で提供され、エッチストップ層209は、当業界において高度に選択的なエッチングレシピが適切に確立されている窒化シリコン材料、窒素含有シリコンカーバイド材料またはシリコンカーバイド材料などである。このため、一部の例示的な実施形態では、エッチングプロセス240が、実質的に異方性のプロセスとして実施され、実質的に垂直方向に、層207から材料が連続的に除去されうる。その際、図2bに示す実施形態では、水平の材料部分が実質的に除去されるまで、エッチングプロセスが続けられうる。すなわち、エッチングの前線(etch front)が実質的に垂直に向いていることで、厚さT1が、厚さの値T2およびT3よりも大きいため、スペース211内の層207の材料が完全に除去される前に、第1のデバイス領域と第2のデバイス領域の膜厚T2,T3を有する層の部分が実質的に除去されうる。このため、スペース211内に個々の残りの材料部分207Rが残され、このため、その後の堆積プロセスからみた有効アスペクト比が効率的に低下し、後から実施する、所望の材料特性を有する層間絶縁材料を形成するための堆積プロセスの制約が緩和される。エッチング選択性が高いため、個々のスペース211内の実際の高さレベル211Hを、構造203、または第2のデバイス領域230内の構成要素に実質的に悪影響を及ぼすことなく、エッチングプロセス240のプロセス時間によって調整することができる。このようにして、残りの材料207Rの量を、その後の堆積プロセスのギャップフィル能と適合する望ましい値に減らすことができる一方で、残りの材料を減らすことにより、吸水能などの材料特性の悪影響を大幅に低減させることができる。吸水能は、上で説明したように、PECVD堆積二酸化シリコンよりも、SACVD堆積二酸化シリコンで極めて大きい。
図2cは、製造が更に進んだ段階の半導体デバイス200を模式的に示す。この図において、第1のデバイス領域220と第2のデバイス領域230の上に第2の層間絶縁材料207Aが形成され、層間絶縁材料207Aは、例えば、その後実施するCMPプロセス中の低脱ガス性、吸水に対する高耐性、機械的強度の向上など、高い材料特性を有する。層207Aは、例えば上で説明したように、TEOSとオゾンを使用するPECVDプロセスに基づいて形成され、その際、上で説明したように、材料の残りの部分207Rによる低いアスペクト比により、高い堆積の均一性が得られる。したがって、層207の材料の全体的な量(すなわち、残りの部分207R)が、従来の戦略よりも大幅に減り、これにより、デバイス200の中間層構造の全体的な信頼性が改善されると共に、その後実施するデバイス200の処理中のプロセスの均一性が改善される。図の実施形態では、前の表面形状によっては、第2のデバイス領域230に、材料の残りの部分207Rが実質的に存在しなくてもよい。
続いて、例えばCMPに基づいて、例えば材料207Aの表面凹凸形状を平坦化することにより、後続の処理が続けられ、その際、上で説明したように、実績のあるプロセス技術が使用されうる。次に、フォトリソグラフィ法とエッチング法に基づいて個々のコンタクト開口が形成され、その際、エッチストップ層209が、層207Aと残りの部分207Rにコンタクト開口を形成するための効率的なエッチストップとして使用されうる。その後、デバイス要件に応じて、エッチストップ層209に開口が形成され、個々のコンタクト開口がデバイス層210およびライン204のコンタクト領域に延在されうる。
図2dは、別の例示的な実施形態による半導体デバイス200を模式的に示す。この図では、適切な位置に適切なエッチング指標材料241を設けることにより、エッチングプロセス240中のプロセスの均一性が改善されうる。例えば、例示的な一実施形態では、エッチストップ層209の表面領域にエッチング指標材料241が設けられ、この指標材料241は、エッチングプロセス240中に遊離すると、顕著なエンドポイント検出信号を発生させる1つ以上の適切な原子種を含んでもよい。公知のように、プラズマ系のエッチングプロセス中に、エンドポイント検出と呼ばれる個々の光学測定技術が使用されうる。この技術では、気体環境から吸収スペクトルまたは発光スペクトルが取得され、特定の化学種の有無とその量を示す個々の波長または波長範囲が特定されうる。このため、良好に検出可能なエンドポイント信号を提供する適切な化学種を配置することによって、個々のエッチストップ層209の露出が極めて高い信頼性で検出され、これにより、エッチングプロセス240中の基板間のばらつきが低減される。別の場合には、例えば、堆積プロセスの適切な段階において、堆積雰囲気中に指標化学種241の前駆材料(プリカーサ)を導入することによって、層207内に指標材料241が設けられてもよく、これにより、エッチング環境中の個々の化学種241の有無に基づいて、エッチングプロセス240の進行をモニタできるようになる。一般に、堆積プロセスは、エッチングプロセスよりも均一性が高いため、層間絶縁材料207内に化学種241を提供することにより、全体的なプロセスの均一性を改善することができる。更に別の例示的な実施形態では、指標材料241が、イオン注入によって材料207に導入されてもよい。この場合、任意の適切な化学種を使用でき、層207の既知の膜厚に対して、個々の注入パラメータに基づいて任意の適切な侵入深さを選択することができる。このため、この場合も、一般に、個々の注入プロセスのプロセス変動は、エッチングプロセス(例えばプロセス240)の変動よりも小さいため、エッチングプロセス240中のプロセスの均一性を改善することができる。
図3a〜3dを参照して、更に別の例示的な実施形態について説明する。この実施形態では、上記に加えて、あるいはその代わりに、例えばSACVDなどの高いギャップフィル能を有する堆積プロセスに基づいて、層間絶縁材料の堆積中のプロセスの均一性を向上させることにより、層間絶縁材料の信頼性を向上させることができる。
図3aは、基板301と、実質的に結晶性の半導体層(例えばシリコン系の層など)の形のデバイス層310とを有する半導体デバイス300の断面図を模式的に示す。デバイス300は、例えば電界効果トランジスタの形の第1の回路要素320と、例えば回路要素320とは異なる構成の電界効果トランジスタの形の第2の回路要素350とを有しうる。例示的な一実施形態では、回路要素320,350は、逆の伝導型のトランジスタであり、これらには、上で説明したように、そのトランジスタ性能を向上させるために、個々のチャネル領域308内に異なる種類の歪みが必要となる。この例では、回路要素320,350は、個々のゲート絶縁層308A上に形成されたゲート電極304を有しうる。更に、この製造段階で要求されるスペーサ構造305が設けられ、回路要素320内に特定の伝導型のドレイン領域とソース領域321が設けられる一方、回路要素350内には逆の伝導型のドレイン領域とソース領域351が設けられうる。更に、回路要素320の上に第1のエッチストップ層309Aが形成されており、エッチストップ層309Aは、チャネル領域308内の電荷運搬移動度を上げるために、チャネル領域308内に所望の歪みを発生させるための適切な高い固有応力を有しうる。同様に、第2の回路要素350の上に、回路要素350のトランジスタ性能を向上させる所望の種類の歪みを発生させるための、層309Aとは反対の種類の高い固有応力を有する第2のエッチストップ層309Bが形成されうる。
更に、デバイス300は、第1のエッチストップ層309Aと第2のエッチストップ層309Bの上に(一部の例示的な実施形態ではその中に)形成されたバッファ層360も有しうる。バッファ層360の材料特性とその膜厚は、その後堆積する材料に対する、下の層309A,309Bの固有応力レベルの影響を大幅に低減させるように選択されうる。例えば、バッファ層360は、層309A,309Bよりも極めて低い固有応力レベルを与えて堆積されうる。これにより、その後実施する、ギャップフィル能の高い堆積プロセスのために適した堆積表面が提供され、第1の回路要素320と第2の回路要素350の上の堆積速度が非常に均一となる。例示的な一実施形態では、バッファ層360は、高い機械的強度を有する二酸化シリコン層として(例えばPECVD二酸化シリコンの形で)設けられうる。その際、層360の膜厚は、第1の回路要素および第2の回路要素320,350間に、堆積の欠陥(ボイドなどの)を発生させる確率を上昇させることなく、コンフォーマルな堆積挙動が得られるように選択される。別の例示的な実施形態では、バッファ層360は、応力レベルの差を補償する一方で、高応力印加層309A,309Bによって与えられる応力伝達機構に過度の影響を及ぼさないように、固有応力レベルの低い窒素含有材料またはシリコンカーバイド材料の形で提供されてもよい。別の場合には、バッファ層360が、逆の固有応力を有する層309A,309Bの一方に与える影響が許容できる場合には、バッファ層360に高い固有応力が付与されてもよく、この場合、その後実施する堆積プロセス(SACVDプロセスなど)の処理条件を実質的に統一することができる。
図3bは、製造が更に進んだ段階の半導体デバイス300を模式的に示す。この図において、バッファ層360上に、上で説明したように適切な堆積法(SACVDなど)に基づいて個々のスペースを確実に埋めるために、層間絶縁材料307の少なくとも一部が形成される。バッファ層360は、回路要素320,350の上の堆積速度が極めて均一であるため、層間絶縁材料307は、デバイス要件に応じて、任意の適切な膜厚で堆積することができる。場合によっては、バッファ層360は、例えば、水分の吸収などに関して、下に存在する回路要素の有効な「パッシベーション」を提供することができる。別の例示的な実施形態では、層間絶縁材料307は、スペースを確実に埋め、かつ上で説明したように、後から実施する層間絶縁材料(PECVD二酸化シリコンなど)の堆積のために、凹凸形状の少ない表面を提供するように設計された膜厚で堆積されうる。
図3cは、更に別の例示的な実施形態に係る半導体デバイス300を模式的に示す。この図においては、層307の材料の量を減らす一方で、その後実施する、所望の材料特性を有する層間絶縁材料の堆積時のプロセスの均一性を改善するために、エッチングプロセス340によって層間絶縁材料307が部分的に除去されうる。このため、上で説明したように、個々のスペースのアスペクト比が十分に低減される一方、下地のエッチストップ層の応力レベルに関わらず、材料307の堆積中の個々の成長速度が、実質的に全く等しくなるか、少なくとも同様の値となるため、バッファ層360により、エッチングプロセス340中の基板内(aross-substrate)の均一性が改善される。更に、上で説明したように、場合によっては、良好に検出可能なエンドポイント検出信号を供給して、エッチングプロセス340を効率的に制御できるようにするために、バッファ層360に適切な指標材料が組み込まれてもよい。このように、エッチストップ層309A,309Bの露出を事実上防ぐことができ、これによりエッチストップ層309A,309Bの歪み誘発作用が悪影響を受けることがなくなる。
図3dは、更に別の例示的な実施形態に係る半導体デバイス300を模式的に示す。この図においては、化学機械研磨プロセス342に基づいて、層307の一部の材料が除去されうる。その際、バッファ層360が、層307の残りの材料部分307Rを閉じ込めると共に、個々のエッチストップ層309A,309Bの過度の露出を実質的に防ぐためのCMPストップ層として機能しうる。一部の例示的な実施形態では、エッチングプロセス340と研摩プロセス342が組み合わされてもよい。この場合、例えば、第1の工程で、研磨プロセス342が実施されて非常に平坦な表面が得られ、残りの部分307Rを所望の高さレベルで残すために、この表面に、高い均一性を有するエッチングプロセス340が実施されうる。別の場合には、エッチングプロセス340が最初に実施され、その後、研磨プロセス342によって非常に平坦な表面形状を得てもよく、これにより、その後実施する追加の層間絶縁材料(例えば、PECVDプロセスによって形成する二酸化シリコン)の堆積のプロセスの均一性が改善されうる。
このように、本明細書に開示の主題は、エッチングプロセスに基づいて、余分な材料の量を減らすか、異なる固有応力レベルを有する誘電材料の上に、堆積の均一性を改善するための適切なバッファ層を設け、その後実施するプロセスのプロセス均一性を改善するか、この両方を行うことにより、層間絶縁材料の構成要素の堆積中の望ましくない材料特性またはプロセス特性の悪影響が低減されているため、信頼性の高い層間絶縁材料を有する方法および半導体デバイスを提供する。したがって、均一性の高い層間絶縁材料の形成時に、高度なSACVDプロセスのギャップフィル能が利用される一方、個々の材料特性(SACVDによって堆積したTEOS二酸化シリコンの一般的な材料特性である吸水能の上昇、脱ガスの増大、機械的安定性の低下など)の影響が、従来の戦略よりも効率的に低減されうる。このため、低量のSACVD材料の上に、PECVD TEOSによる二酸化シリコンで一般的な、例えば低吸水性などの良好な特性の層間絶縁材料を形成することができる。
上記に記載した特定の実施形態は例に過ぎず、本発明は、本開示の教示の利益を得る当業者にとって自明の、異なるが均等の別法によって変更および実施されてもよい。例えば、上記のプロセス工程を記載した順序とは異なる順序で実行してもよい。更に、ここに記載した構成または設計の詳細が、添付の特許請求の範囲以外によって限定されることない。このため、上記に記載した特定の実施形態を変形または変更することが可能であり、このような変形例は全て本発明の範囲ならびに趣旨に含まれることが意図されることが明らかである。したがって、ここに保護を請求する対象は、添付の特許請求の範囲に記載したとおりである。

Claims (13)

  1. 半導体デバイス(200,300)の、高密度間隔のライン状特徴を有する複数の回路要素(204,304)の上にエッチストップ材料(209,309A,309B)を形成するステップと、
    前記高密度間隔のライン状特徴(204,304)間に形成されたスペース(211)を実質的に埋めるように設計された第1の堆積プロセスによって、前記回路要素(204,304)および前記エッチストップ材料(209,309A,309B)の上に第1の層間絶縁材料(207,307)を形成するステップと、
    前記スペース(211)の少なくとも一部に前記第1の層間絶縁材料(207R,307R)が埋め込まれて残るように、前記第1の層間絶縁材料(207,307)の一部を除去するステップと、
    前記第1の層間絶縁材料(207R,307R)の上に第2の層間絶縁材料(207A)を形成するステップとを含む方法。
  2. 前記第1の層間絶縁材料(207,307)の一部を除去するステップは、前記第1の層間絶縁材料(207,307)の前記一部を、前記エッチストップ材料(209,309A,309B)に対して選択的に除去するために、エッチングプロセスを実施するステップを含む請求項1に記載の方法。
  3. 前記回路要素(204)の上に指標材料(241)を提供し、前記指標材料(241)のエッチングによって発生する信号を用いて前記エッチングプロセスを制御するステップを更に有する請求項2に記載の方法。
  4. 前記第1の層間絶縁材料(207,307)は、シリコン含有プリカーサ材料を使用する準常圧化学気相成長法プロセスを実施して形成される請求項1に記載の方法。
  5. 前記エッチストップ層の第1の部分(309A)が、圧縮応力を有して前記回路要素(304)の第1の回路要素の上に形成され、前記エッチストップ層の第2の部分(309B)が、引張応力を有して前記回路要素(304)の第2の回路要素の上に形成され、前記方法は、前記第1の層間絶縁材料(307)の形成前に、前記エッチストップ層の前記第1の部分および前記第2の部分(309A,309B)の上にバッファ層(360)を形成するステップをさらに含む、請求項1に記載の方法。
  6. 前記第1の層間絶縁材料(307)の一部を除去するステップは、化学機械研磨プロセス(342)を実施するステップを含む、請求項5に記載の方法。
  7. 第1の複数のトランジスタ(320)の上に、圧縮固有応力を有する第1のエッチストップ層(309A)を形成するステップと、
    第2の複数のトランジスタ(350)の上に、引張固有応力を有する第2のエッチストップ層(309B)を形成するステップと、
    前記第1のトランジスタおよび前記第2のトランジスタ(320,350)のうちの隣接するトランジスタ間のスペース(211)に実質的にコンフォーマルな堆積挙動を与える第1の堆積法によって、前記第1のエッチストップ層および前記第2のエッチストップ層(309A,309B)の上にバッファ層(360)を形成するステップと、
    前記第1の堆積法よりもギャップフィル能の高い第2の堆積法によって、前記バッファ層(360)上に層間絶縁材料(307)の少なくとも一部を形成するステップとを含む方法。
  8. 前記バッファ層(360)はプラズマ化学気相成長法によって堆積される請求項7に記載の方法。
  9. 前記層間絶縁材料(307)の前記少なくとも一部は、準常圧化学気相成長法によって形成される請求項7に記載の方法。
  10. 前記層間絶縁材料の追加部分を堆積する前に、前記層間絶縁材料(307)の前記少なくとも一部の一部分を除去するステップをさらに含む請求項7に記載の方法。
  11. 前記バッファ層(360)は、前記第1のエッチストップ層および前記第2のエッチストップ層(309A,309B)よりも固有応力レベルの低い窒素含有材料を含む請求項7に記載の方法。
  12. 半導体領域(210,310)の上に形成された、複数の密に充填されたゲート電極構造(204,304)を有し、前記ゲート電極構造(204,304)のうちの隣接する2つの間にスペース(211)が画定されている第1のデバイス領域(220,320)と、
    前記複数のゲート電極構造(204,304)の上に形成されたエッチストップ材料(209,309A,309B)と、
    二酸化シリコンを含み、前記スペース(211)内で、前記複数のゲート電極構造(204,304)と前記エッチストップ材料(209,309A,309B)とによって規定される高さレベルよりも低い高さレベルで設けられている第1の層間絶縁材料(207R,307R)と、
    二酸化シリコンを含み、前記第1の層間絶縁材料(207R,307R)の上に形成され、前記第1の層間絶縁材料(207R,307R)よりも吸水能が低い第2の層間絶縁材料(207A)とを備える、
    半導体デバイス(200,300)。
  13. 分離構造(231)の上に設けられた第2のデバイス領域(230)をさらに備え、前記第2のデバイス領域(230)上には、前記エッチストップ層(209)と前記第2の層間絶縁材料(207A)とが形成されている請求項12に記載の半導体デバイス(200,300)。
JP2010514851A 2007-06-29 2008-06-30 狭間隔のラインを含む構造の上に信頼性の高い層間絶縁材料を形成するための技術 Active JP5266319B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102007030058A DE102007030058B3 (de) 2007-06-29 2007-06-29 Technik zur Herstellung eines dielektrischen Zwischenschichtmaterials mit erhöhter Zuverlässigkeit über einer Struktur, die dichtliegende Leitungen aufweist
DE102007030058.3 2007-06-29
US12/020,234 2008-01-25
US12/020,234 US7910496B2 (en) 2007-06-29 2008-01-25 Technique for forming an interlayer dielectric material of increased reliability above a structure including closely spaced lines
PCT/US2008/008153 WO2009005788A2 (en) 2007-06-29 2008-06-30 A technique for forminig an interlayer dielectric material of increased reliability above a structure including closely spaced lines

Publications (2)

Publication Number Publication Date
JP2011517841A true JP2011517841A (ja) 2011-06-16
JP5266319B2 JP5266319B2 (ja) 2013-08-21

Family

ID=40031057

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010514851A Active JP5266319B2 (ja) 2007-06-29 2008-06-30 狭間隔のラインを含む構造の上に信頼性の高い層間絶縁材料を形成するための技術

Country Status (8)

Country Link
US (1) US7910496B2 (ja)
EP (1) EP2168153A2 (ja)
JP (1) JP5266319B2 (ja)
KR (1) KR101203178B1 (ja)
CN (1) CN101755333B (ja)
DE (1) DE102007030058B3 (ja)
TW (1) TWI443739B (ja)
WO (1) WO2009005788A2 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100948294B1 (ko) * 2007-10-12 2010-03-17 주식회사 동부하이텍 반도체 소자의 제조방법
US8283708B2 (en) 2009-09-18 2012-10-09 Micron Technology, Inc. Semiconductor devices and methods of forming semiconductor devices having diffusion regions of reduced width
US8159009B2 (en) * 2009-11-19 2012-04-17 Qualcomm Incorporated Semiconductor device having strain material
CN102569158A (zh) * 2010-12-16 2012-07-11 中芯国际集成电路制造(北京)有限公司 半导体结构间隔离结构及其形成方法
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US10607990B2 (en) * 2017-05-09 2020-03-31 International Business Machines Corporation Fabrication of field effect transistors with different threshold voltages through modified channel interfaces
US10714536B2 (en) 2018-10-23 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method to form memory cells separated by a void-free dielectric structure

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05144810A (ja) * 1991-11-19 1993-06-11 Sanyo Electric Co Ltd 半導体装置
JPH0669193A (ja) * 1991-11-15 1994-03-11 American Teleph & Telegr Co <Att> 半導体集積回路の製造方法
JPH0745714A (ja) * 1993-02-04 1995-02-14 Paradigm Technol Inc 半導体集積回路装置及びその製造方法
JPH09283460A (ja) * 1996-04-17 1997-10-31 Sony Corp 半導体装置の製造方法
JPH10270447A (ja) * 1996-08-30 1998-10-09 Sanyo Electric Co Ltd 半導体装置の製造方法及び研磨液
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2004165533A (ja) * 2002-11-15 2004-06-10 Fujitsu Ltd 半導体装置の製造方法
JP2005191280A (ja) * 2003-12-25 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872401A (en) * 1996-02-29 1999-02-16 Intel Corporation Deposition of an inter layer dielectric formed on semiconductor wafer by sub atmospheric CVD
US6054333A (en) * 1997-10-14 2000-04-25 University Of Houston Real time etch measurements and control using isotopes
US6291331B1 (en) * 1999-10-04 2001-09-18 Taiwan Semiconductor Manufacturing Company Re-deposition high compressive stress PECVD oxide film after IMD CMP process to solve more than 5 metal stack via process IMD crack issue
JP2005056989A (ja) * 2003-08-01 2005-03-03 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
KR100611777B1 (ko) * 2003-12-22 2006-08-11 주식회사 하이닉스반도체 반도체소자 제조 방법
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
DE102004026149B4 (de) * 2004-05-28 2008-06-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines Halbleiterbauelements mit Transistorelementen mit spannungsinduzierenden Ätzstoppschichten
DE102004031744A1 (de) 2004-06-30 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Eine Technik zur Herstellung einer dielektrischen Zwischenschicht über einer Struktur mit eng beabstandeten Leitungen
US7009226B1 (en) * 2004-07-12 2006-03-07 Advanced Micro Devices, Inc. In-situ nitride/oxynitride processing with reduced deposition surface pattern sensitivity
KR100607363B1 (ko) * 2004-12-29 2006-08-01 동부일렉트로닉스 주식회사 저유전율 절연막을 이용한 금속간 절연막 및 그 형성방법
US7442597B2 (en) * 2005-02-02 2008-10-28 Texas Instruments Incorporated Systems and methods that selectively modify liner induced stress
JP5134193B2 (ja) * 2005-07-15 2013-01-30 株式会社東芝 半導体装置及びその製造方法
US7365357B2 (en) * 2005-07-22 2008-04-29 Translucent Inc. Strain inducing multi-layer cap
US7700491B2 (en) * 2005-08-10 2010-04-20 Agere Systems Inc. Stringer elimination in a BiCMOS process
DE102005046978B4 (de) * 2005-09-30 2007-10-04 Advanced Micro Devices, Inc., Sunnyvale Technik zum Erzeugen einer unterschiedlichen mechanischen Verformung durch Bilden eines Kontaktätzstoppschichtstapels mit unterschiedlich modifizierter innerer Verspannung
US7709317B2 (en) 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
KR100688023B1 (ko) * 2005-12-28 2007-02-27 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
KR100681677B1 (ko) 2005-12-29 2007-02-09 동부일렉트로닉스 주식회사 반도체 소자의 이중 층간 절연막 형성 방법
KR100780643B1 (ko) * 2006-06-29 2007-11-29 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성방법
KR100825778B1 (ko) * 2006-09-28 2008-04-29 삼성전자주식회사 듀얼 스트레스 라이너를 구비하는 반도체 소자의 제조방법
DE102007025342B4 (de) * 2007-05-31 2011-07-28 Globalfoundries Inc. Höheres Transistorleistungsvermögen von N-Kanaltransistoren und P-Kanaltransistoren durch Verwenden einer zusätzlichen Schicht über einer Doppelverspannungsschicht

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0669193A (ja) * 1991-11-15 1994-03-11 American Teleph & Telegr Co <Att> 半導体集積回路の製造方法
JPH05144810A (ja) * 1991-11-19 1993-06-11 Sanyo Electric Co Ltd 半導体装置
JPH0745714A (ja) * 1993-02-04 1995-02-14 Paradigm Technol Inc 半導体集積回路装置及びその製造方法
JPH09283460A (ja) * 1996-04-17 1997-10-31 Sony Corp 半導体装置の製造方法
JPH10270447A (ja) * 1996-08-30 1998-10-09 Sanyo Electric Co Ltd 半導体装置の製造方法及び研磨液
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2004165533A (ja) * 2002-11-15 2004-06-10 Fujitsu Ltd 半導体装置の製造方法
JP2005191280A (ja) * 2003-12-25 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法

Also Published As

Publication number Publication date
CN101755333B (zh) 2012-04-04
WO2009005788A2 (en) 2009-01-08
DE102007030058B3 (de) 2008-12-24
EP2168153A2 (en) 2010-03-31
WO2009005788A3 (en) 2009-06-04
TWI443739B (zh) 2014-07-01
CN101755333A (zh) 2010-06-23
KR20100029261A (ko) 2010-03-16
KR101203178B1 (ko) 2012-11-20
US7910496B2 (en) 2011-03-22
JP5266319B2 (ja) 2013-08-21
TW200913052A (en) 2009-03-16
US20090001526A1 (en) 2009-01-01

Similar Documents

Publication Publication Date Title
US7442618B2 (en) Method to engineer etch profiles in Si substrate for advanced semiconductor devices
US7763532B2 (en) Technique for forming a dielectric etch stop layer above a structure including closely spaced lines
JP5266319B2 (ja) 狭間隔のラインを含む構造の上に信頼性の高い層間絶縁材料を形成するための技術
JP5389813B2 (ja) 半導体デバイスのコンタクト層スタックにおいて高応力エッチストップ材料と層間絶縁膜を逐次的に提供することによる応力伝達
TWI697039B (zh) 半導體裝置及其形成方法
US20120161324A1 (en) Semiconductor Device Comprising Contact Elements with Silicided Sidewall Regions
US7875514B2 (en) Technique for compensating for a difference in deposition behavior in an interlayer dielectric material
US8026571B2 (en) Semiconductor-device isolation structure
US8772178B2 (en) Technique for forming a dielectric interlayer above a structure including closely spaced lines
US8722511B2 (en) Reduced topography in isolation regions of a semiconductor device by applying a deposition/etch sequence prior to forming the interlayer dielectric
US7763507B2 (en) Stressed interlayer dielectric with reduced probability for void generation in a semiconductor device by using an intermediate etch control layer of increased thickness
KR101046727B1 (ko) 반도체장치의 매립게이트 제조 방법
US8097542B2 (en) Etch stop layer of reduced thickness for patterning a dielectric material in a contact level of closely spaced transistors
US8048330B2 (en) Method of forming an interlayer dielectric material having different removal rates during CMP
JP2011502357A (ja) 半導体デバイスにおいてゲート電極高を調整するための方法
US7271431B2 (en) Integrated circuit structure and method of fabrication
CN110473829B (zh) 层间膜的制造方法
JP2953447B2 (ja) 溝分離型半導体装置の製造方法
KR100677990B1 (ko) 반도체 소자의 제조 방법
KR100744248B1 (ko) 반도체 소자의 제조 방법
KR20050110271A (ko) 플래쉬 메모리 소자
US20080149971A1 (en) Semiconductor device and method for fabricating the same
KR20050002400A (ko) 반도체소자의 콘택플러그 형성방법
JP2007116044A (ja) 半導体装置の製造方法
JP2009200167A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120613

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120620

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120919

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121022

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130424

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130502

R150 Certificate of patent or registration of utility model

Ref document number: 5266319

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250