JP2008227491A - 基板移送用高温抗垂下エンドエフェクター - Google Patents

基板移送用高温抗垂下エンドエフェクター Download PDF

Info

Publication number
JP2008227491A
JP2008227491A JP2008057723A JP2008057723A JP2008227491A JP 2008227491 A JP2008227491 A JP 2008227491A JP 2008057723 A JP2008057723 A JP 2008057723A JP 2008057723 A JP2008057723 A JP 2008057723A JP 2008227491 A JP2008227491 A JP 2008227491A
Authority
JP
Japan
Prior art keywords
end effector
substrate
mounting plate
angle
handler
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008057723A
Other languages
English (en)
Other versions
JP5800447B2 (ja
Inventor
Jeffrey A Brodine
エイ ブロダイン ジェフリー
Domingo Guerra
ゲラ ドミンゴ
Whitney B Kroetz
ビー クレッツ ホイットニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008227491A publication Critical patent/JP2008227491A/ja
Application granted granted Critical
Publication of JP5800447B2 publication Critical patent/JP5800447B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • B25J9/043Cylindrical coordinate type comprising an articulated arm double selective compliance articulated robot arms [SCARA]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1628Programme controls characterised by the control loop
    • B25J9/1638Programme controls characterised by the control loop compensation for arm bending/inertia, pay load weight/inertia
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

【課題】本発明の実施形態は、半導体基板移送用の高温抗垂下エンドエフェクターに関する。本発明の一実施形態により基板ハンドラーと共に用いるエンドエフェクターが提供される。
【解決手段】エンドエフェクターは基板を支えるように配置し、その基板を水平面に対し第1角度に位置する基板支持面を有する自由端を含む。エンドエフェクターは基板ハンドラーに取付けるように配置した固定端を含み、基板が自由端上に配置されず、第1角度が第2角度と異なる場合には、基板支持面が水平面に対して第2角度になる位置でエンドエフェクターを基板ハンドラーに取付ける。
【選択図】図4

Description

発明の背景
(発明の分野)
本発明の実施形態は通常半導体プロセシング時の半導体基板の処理と移送に関する。より詳しくは本発明の実施形態は、半導体基板処理用ロボットと共に用いるエンドエフェクターに関する。
(関連技術の説明)
ロボットアームの使用は人による処理が不適切で、及び/又は好ましくない応用で定着した製造の便宜的方法である。例えば半導体の製造では、種々の段階中及び段階間での基板処理にロボットアームを用いてスピードを上げ汚染を減少する。
半導体プロセシングに用いる基板ハンドラーとしては、通常ロボットアームに取付け、又ロボットブレード又はキャリヤーとして知られる1つ以上のエンドエフェクターが挙げられる。エンドエフェクターは基板移送時に基板を支えるように配置する。集積回路プロセシングシステムでは、通常ロボットアームは複数のプロセスチャンバーとロード/アンロードポートを収容する面を有する移送チャンバーに配置する。移送チャンバーのロボットアームは、加工時に先ず基板をロードポートからエンドエフェクターに搭載する。基板を移送チャンバーに取り出した後、ロボットアームにより基板を移送チャンバーに連結したプロセスチャンバーに送り込む。ロボットアームにより基板をプロセスチャンバー内の基板支持部上に降ろし、エンドエフェクターを引き戻す。プロセスがプロセスチャンバー内で完了すると、ロボットアームを用いて基板を基板チャンバーから取り出し、基板を次の加工段階用の他のプロセスチャンバーに往復させる。
幾つかの一般的移送チャンバーは4〜6個のプロセスチャンバーを収容する面を有する。プロセスチャンバーは高速熱加工(RTP)チャンバー、物理蒸着(PVD)チャンバー、化学蒸着(CVD)チャンバー及びエッチングチャンバーを含むことができる。
図1に移送チャンバーで基板処理のために配置した基板ハンドラー10を概略的に示す。基板ハンドラー10は回転部18に取付けたアームアセンブリ16に取付けの2個のエンドエフェクター14を含む。基板は移送中エンドエフェクター14上に置く。エンドエフェクター14はアームアセンブリ16の取付け接点28に取付けた固定端27と、その上にある基板を支えるように配置した自由端27を有する。自由端29はセンサー光線を用いて基板の存在を検出できるように配置した基板感知穴15を有してもよい。アームアセンブリ16は、エンドエフェクター14を基板ハンドラー10の外側から内側に放射状に移動して、基板をプロセスチャンバーへ基板を挿入するか、又は基板を取り出す。
先行技術のエンドエフェクターは幾つかの限界を有する。先ずエンドエフェクターは基板の天然材料整合性と重量により垂下(即ち頂部―尾部撓み)を示す。エンドエフェクターが高密度実装基板にアクセスするようにより薄くなり、基板サイズがより大きくなると、垂下はより顕著になる。図2Aに基板無しでのエンドエフェクター14の部分側断面図を概略的に示す。図2Bはその上に搭載した基板30有りのエンドエフェクター14の略側断面図である。エンドエフェクター14での垂下の増加が、エンドエフェクター14に位置する基板30重量に起因することが示される。基板30を搭載後、自由端が角度αまで垂れ下がる。
半導体プロセシングでは高精度が非常に重要である。基板ハンドラー、従ってエンドエフェクターを高精度に位置合わせすることが非常に重要である。通常エンドエフェクターは位置合わせし、熱膨張による変形が起こりうる任意の無用な動きを防ぐようにロボットアームにしっかりと固定する。高速熱アニーリングのような幾つかの高温プロセス時に、エンドエフェクターは高温基板を移送したり、高温チャンバー内で操作する必要が起こり得る。その温度は350℃迄にもなり得る。しっかりと固定したエンドエフェクターはこのような高温では変形し得る。図3にアームアセンブリ16の取付け接点28に固定したエンドエフェクター14の平面図を概略的に示す。エンドエフェクター14の固定端27を取付け接点28の側壁31間にぴったり合わして僅かの回転も防止する。エンドエフェクター14が取付け接点28材料より大きな熱膨張率を有する材料で出来ている場合、エンドエフェクター14の固定端27は継ぎ目32の許容範囲を全く使い果たし変形する。更に高温では垂下が又増加する。
従って、垂下又は変形することなしに高温で基板を移送する装置と方法の必要性がある。
発明の概要
本発明は通常高温での基板移送用の装置と方法に関する。特に本発明の実施形態は、半導体基板移送用の高温抗垂下エンドエフェクターに関する。
本発明の一実施形態では、基板を支えるように配置した基板支持面を有し、該基板を水平面に対し第1角度に位置する自由端と、基板ハンドラーに取付けるように配置した固定端を含む基板ハンドラーと共に用いるエンドエフェクターが提供され、基板が自由端上に配置されず、第1角度が第2角度と異なる場合には、基板支持面が水平面に対し第2角度である位置にエンドエフェクターを基板ハンドラーに取付ける。
本発明の他の実施形態では、その上の基板を支えるように配置した基板支持面を有する自由端と、固定端を基板ハンドラー取付け面に固定するように配置した底面を有する固定端を含むエンドエフェクターが提供され、底面は基板支持面に対してある角度を形成する。
本発明の更なる実施形態では、取付け板を有する動作アームと、基板を支えるように配置したエンドエフェクターを含む基板ハンドラーが提供され、エンドエフェクターは基板を水平面に対し第1角度で支えるように配置した基板支持面を有する自由端と、動作アーム取付け板に取付けた固定端を含み、基板が自由端上に配置されず、第1角度が第2角度と異なる場合には、水平面に対し第2角度となる位置でエンドエフェクターを取付け板に取付ける。
詳細な説明
本発明は、一般に、半導体基板移送用の装置と方法に関する。本発明の実施形態により、高温抗垂下機能をもつエンドエフェクターが提供される。本発明の一実施形態では、基板重量及び/又はエンドエフェクター自身の重量が起す垂れ下がりを打ち消すように、予め決めた角度にエンドエフェクターを取付けられる。一実施形態では、エンドエフェクターの取付け端に傾斜を持たして傾斜取付けを容易にする。本発明の一実施形態では、横方向への熱膨張可能な許容範囲を持つロボットアームにエンドエフェクターを取付ける。一実施形態ではエンドエフェクター固定端の中心近くでエンドエフェクターをロボットアームに固定する。本発明の一実施形態では、クランプを用いてエンドエフェクターをロボットアームに固定する。
図4に本発明の一実施形態に係るエンドエフェクターアセンブリ100の分解図を概略的に示す。エンドエフェクターアセンブリ100は、エンドエフェクター110と、ロボットアーム取付け板140の空洞141内にエンドエフェクター110を固定するように配置したクランプ130を含む。一実施形態では、取付け板140、エンドエフェクター110及びクランプ130を、ネジ133を用いて対応穴143、113、131に通し一緒に積み重ねる。
エンドエフェクター110は自由端124と、取付け板140にエンドエフェクター110を取付けるように配置の固定端111を有する。固定端111とクランプ130は空洞141と類似の形状を有することにより、固定端111とクランプ130の両者は空洞141内に置かれる。
自由端124は外向き縁128と内向き縁127で規定される2個のフィンガー115を有する。エンドエフェクター110は、赤外線ビームのようなセンサー光線がエンドエフェクター110上の基板の存在を検出できる標準的位置に基板感知切り取り118を有する。エンドエフェクター110は又中心割り出し穴114を有する。運転者はチャンバーを開け、エンドエフェクター110の中心を調製するようピンを中心割り出し穴114に挿入することにより、チャンバー内で基板を降ろしたり取り上げたりする場合にエンドエフェクター110をチャンバーと適切に位置合せできる。
外向き縁128は、エンドエフェクター110の固定端111から始まり特有な砂時計形状に曲がり、次いで先細りして凹部を形成する。内向き縁127は全体が曲がり、基板感知切り取り118領域に延びる凹部を形成する。エンドエフェクター110の凹部により、エンドエフェクター110の質量を制限し軽量で頑丈な基板用支持部が得られる。
エンドエフェクター110は固定端111近くに形成した棚125と、フィンガー115の先端近くに形成した棚126を有する。棚125,126は基板裏面を支え、自由端124の主要部レベル上の基板裏側にすき間を与えるように配置する。棚125、126により基板裏面を支えるように配置した基板支持面125aが形成される。エンドエフェクター110は又その中に基板を横方向に収容するように配置した末端シュー117、116を有する。
本発明の一実施形態では、エンドエフェクター110は垂れ下がりを打ち消すように予め決めた角度で取付ける。図5A−5Bに図4のエンドエフェクターアセンブリの側断面図を概略的に示す。
図5Aに示すように、エンドエフェクター110を取付け板140とクランプ130の間に“挟む”。点線150は基板をエンドエフェクター110上で処理するに必要な所望角度を示す。通常所望角度は水平面に対し略ゼロ度、即ち平行である。一実施形態では、基板支持面125aが点線150で印を付けた所望角度に対して予め決めた角度βになるように、エンドエフェクター110を取付ける。一実施形態では、基板重量に起因するエンドエフェクター110の垂れ下がりを補正するように予め決める角度βを決めることで、基板がエンドエフェクター110上に位置する場合、その基板は点線150と平行になる。予め決める角度βに影響する要因としては、エンドエフェクター110材料の物性、エンドエフェクター110の構造、及び基板を移送すべき温度が含まれる。
一実施形態では、図5Aに示す傾斜した取付けは、固定端111の底面119と傾斜を持たせて得られる。図5Bに示すように、固定端111の底面119は、底面119と基板支持面125aとが平行でないように傾斜してもよい。図5Bに示すように、底面119は底平面119bに延びる。基板支持面125aは支持平面125bに延びる。底平面119bは支持平面125bと交差する。底平面119aと最初の底面119で規定される傾斜角γは、角度βに対応して決めてもよい。一実施形態では、固定端111は支持平面125bと最初の底平面119aに平行な上面120と先細り形状を有しも良く、底面119と上面120は角度γを形成する。
一実施形態では、基板がその上に位置する場合、角度γは自由端124のずれにより決められる。例えばエンドエフェクター110を先ず底面119との傾斜なしに形成し、取付け板140に取付けることにより、固定端111をプロセス環境で実質的に水平に取付けてもよい。次いで基板を自由端124上に位置して、水平面からの自由端124の全体のずれを測ってもよい。傾斜角度γは全体のずれを補正するように決める。
このようにして、本発明のエンドエフェクターは、プロセス温度、加工する基板の重量とサイズ、エンドエフェクターの材料と構造のような異なるプロセスパラメーターに関して異なる傾斜角γで特注製作できる。ロボットのような基板ハンドラーにより、異なるエンドエフェクターを用いて異なるプロセスでの垂れ下がりが避けられる。
他の実施形態では、エンドエフェクター110を予め決めた角度で取付けるように、取付け板140の上面に傾斜を形成しもよい。他の実施形態では、取付け板140に取付けたエンドエフェクターが角度を持つように、取付け板140をロボットアームに予め決めた角度に位置してもよい。
本発明の一実施形態では、エンドエフェクター取付け領域の中心近くに制約物を用いて、エンドエフェクターと基板ハンドラー取付け板の間の位置合わせが得られる。図4に戻って参照すると、固定端111の中心線152近くに形成した位置合せノッチ112を用いて、エンドエフェクター110の位置合せが達成できる。クランプ130のセンター出し穴132と、取付け板140上に形成したセンター出し穴142との位置を合わす。エンドエフェクター110を取付けるとき、だぼピン121を用いてクランプ130のセンター出し穴132と、エンドエフェクター110の位置合せノッチ112と、取付け板140のセンター出し穴142を通す。
図6にクランプ130なしでの図4のエンドエフェクターアセンブリ110の平面図を概略的に示す。位置合せノッチ112とだぼピン121を用いて位置合せが得られるので、取付け板140の側壁144と、エンドエフェクター110の固定端111間に、逃げつなぎ目122が作成できる。逃げつなぎ目122と固定端111の中心近くに形成した位置合せノッチ112により、エンドエフェクター110の固定端111は変形なしに空洞141内で膨張できる。これはエンドエフェクター110が取付け板140及び/又はクランプ130の材料より大きな熱膨張率を有する材料で出来ている場合に、特に有益である。
だぼピンとノッチ位置合せを本発明に従い説明したが、又固定端中心領域近くにエンドエフェクターを位置合せする他の適切な位置合せ法が考えられる。
本発明のエンドエフェクターは、対応する加工チャンバーで実施する半導体プロセスに適合する任意の適切材料で作成できる。一実施形態では、エンドエフェクターはアルミニウム製である。他の実施形態では、エンドエフェクターはニッケルメッキアルミニウム製でもよい。適切な他材料としては、チタン、ステンレススチール及びセラミックが挙げられる。同様にクランプはチタン、アルミニウム、ステンレススチール及びセラミックのような適切材料で作成できる。
一実施形態では、クランプ130と取付け板140を同一材料で作成することにより、クランプ130が取付け板140の空洞141にぴたりと合致できる。
一実施形態では、エンドエフェクターはアルミニウム製であるが、取付け板140にエンドエフェクターを取付けるクランプはチタン製である。
図7A−Bに本発明の他の実施形態に係るエンドエフェクター210を概略的に示す。
エンドエフェクター210は、自由端224とエンドエフェクター210を取付け板に取付けるように配置した固定端211を有する。固定端211はエンドエフェクター110の固定端111と類似であり、同様の形で取付けられる。固定端211はエンドエフェクター210の中心線252近くに形成した位置合せノッチ212と、ネジ取付け用の複数の貫通孔213を有する。
自由端224は外向き縁228と内向き縁227で規定される2個のフィンガー215を有する。エンドエフェクター210は、赤外線ビームのようなセンサー光線がエンドエフェクター210上の基板の存在を検出できる標準的位置に基板感知穴218を有する。エンドエフェクター210は又中心割り出し穴214を有する。運転者がチャンバーを開け、エンドエフェクター210の中心を調製するようにピンを中心割り出し穴214にピンを挿入することで、エンドエフェクター210をチャンバー内の基板を降ろすか取り上げるよう適切に位置合せできる。
外向き縁228は比較的頑丈な自由端224を形成する中心部近くでは実質的に直線であり、安定した支えを基板に与える。
エンドエフェクター210は自由端224上に形成した3個の隆起支持体226を有する。3個の隆起支持体226は、基板との接触を最小にする三点基板支持配置を形成する。隆起支持体226を自由端224上に機械加工し、基板端に自由端224とのすき間を作る。又エンドエフェクター210は移送する基板より大きい円を形成した末端シュー216を有する。末端シュー216は緊急時にその中の基板を横方向に掴むように配置する。
図7Bに示すように隆起支持体226と末端シュー216に丸角を形成することで基板が傷つくのを避け、その結果粒子汚染を減少えきる。
上の記述は本発明の実施形態に向けられたが、発明の他の更なる実施形態が、この基本的範囲から逸脱することなしに考案でき、その範囲は以下の特許請求項により決められる。
本発明の上記構成が詳細に理解できるように、実施形態を参照して上に簡単に要約した発明をより詳細に説明し、そのいくつかを添付図面に示す。しかしながら、添付図面は本発明の代表的実施形態を示すに過ぎず、従ってその範囲を制限すると解釈されず、同等に有効な他の実施形態も発明に含まれることに留意すべきである。
移送チャンバー内で基板を処理するように配置した基板ハンドラーを概略的に示す図である(先行技術)。 垂下したエンドエフェクターの概略部分側断面図である(先行技術)。 高温で変形したエンドエフェクターの概略平面図である(先行技術)。 本発明の一実施形態に係るエンドエフェクターアセンブリの概略分解図である。 図4のエンドエフェクターアセンブリの概略側断面図である。 図4のエンドエフェクターアセンブリの概略部分平面図である。 本発明の一実施形態に係るエンドエフェクターの概略図である。
理解し易くするため、可能な場合には、図面で共通する同一要素を示すために同一の参照番号を用いた。一実施形態で開示した要素は、特定な参照なしで他の実施形態でも有効に利用できることを意図する。

Claims (15)

  1. 基板ハンドラーと共に用いるエンドエフェクターであって、
    基板を支持するように配置され、基板を水平面に対し第1角度に位置する基板支持面を有する自由端と、
    基板ハンドラーに取付けるように配置された固定端を含み、基板が自由端に配置されず、第1角度が第2角度と異なる場合に、基板支持面が水平面に対して第2角度となる位置でエンドエフェクターを基板ハンドラーに取付けるエンドエフェクター。
  2. 第1角度と第2角度間の差異は、エンドエフェクターの構造、エンドエフェクターの材料、基板のサイズと重量又は操作温度により予め定められる請求項1記載のエンドエフェクター。
  3. 固定端が自由端の基板支持板に実質的に平行な上面を有し、底面が上面に対し傾斜している請求項1記載のエンドエフェクター。
  4. 傾斜角が第1角度と第2角度の差に対応する請求項3記載のエンドエフェクター。
  5. 自由端が、その上の基板を基板端と接触することなしに支えるように配置した3個の支持隆起体を含む請求項1記載のエンドエフェクター。
  6. 固定端がエンドエフェクターの中心線近くの位置合せノッチを有し、位置合せノッチはエンドエフェクターを基板ハンドラーに位置合せするように配置された請求項1記載のエンドエフェクター。
  7. 基板ハンドラーと共に用いるエンドエフェクターであって、
    その上にある基板を支えるように配置した基板支持面を有する自由端を含み、基板支持面が第1平面に延び、
    基板ハンドラーにエンドエフェクターを固定するように配置した取付け面を有する固定端を含み、取付け面が第2平面に延び、第1平面が第2平面と交差するエンドエフェクター。
  8. 固定端が、エンドエフェクターを基板ハンドラーと位置合わせするように配置した固定エンドエフェクター中心線近くに形成した位置合わせノッチを有する請求項7記載のエンドエフェクター。
  9. 取付け板とエンドエフェクター間の熱膨張差に対応可能な基板ハンドラー取付け板の取付け空洞内に位置するように固定端を配置し、エンドエフェクター中心線近くに形成した位置合せノッチと、取付け板に形成のセンター出し穴にだぼピンを挿入して固定端を取付け板に位置合せする請求項8記載のエンドエフェクター。
  10. 基板ハンドラーであって、
    取付け板を有する動作アームと、
    基板を支えるように配置されたエンドエフェクターを含み、
    エンドエフェクターが、水平面に対し第1角度で基板を支えるように配置した基板支持面を有する自由端と、
    動作アームの取付け板に取付けた固定端を含み、基板が自由端上に配置されず、第1角度が第2角度と異なる場合には、基板支持面が水平面に対し第2角度になる位置でエンドエフェクターを取付け板に取付ける基板ハンドラー。
  11. 取付け板にエンドエフェクターを取付けるように配置したクランプ部材を含み、エンドエフェクターをクランプ部材と取付け板の間に積み重ねる請求項10記載の基板ハンドラー。
  12. 固定端がエンドエフェクターの中心線近くに形成した位置合せノッチを有し、位置合せノッチがエンドエフェクターと取付け板と位置合せするように配置した請求項10記載の基板ハンドラー。
  13. 取付け板がエンドエフェクター底面を受けるように配置した取付け面を有し、取付け面を水平に配置し、底面が基板支持面に対して傾斜している請求項10記載の基板ハンドラー。
  14. 取付け板がエンドエフェクター底面を受けるように配置した取付け面を有し、取付け面が水平面に対し傾斜し、底面が基板支持面に平行な請求項10記載の基板ハンドラー。
  15. エンドエフェクターがアルミニウム製であり、取付け板がチタン製である請求項10記載の基板ハンドラー。
JP2008057723A 2007-03-09 2008-03-07 基板移送用高温抗垂下エンドエフェクター Active JP5800447B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US89409807P 2007-03-09 2007-03-09
US60/894,098 2007-03-09

Publications (2)

Publication Number Publication Date
JP2008227491A true JP2008227491A (ja) 2008-09-25
JP5800447B2 JP5800447B2 (ja) 2015-10-28

Family

ID=39493366

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008057723A Active JP5800447B2 (ja) 2007-03-09 2008-03-07 基板移送用高温抗垂下エンドエフェクター

Country Status (7)

Country Link
US (1) US9443752B2 (ja)
EP (1) EP1968110B1 (ja)
JP (1) JP5800447B2 (ja)
KR (1) KR100989721B1 (ja)
CN (1) CN101303994B (ja)
SG (1) SG146547A1 (ja)
TW (1) TWI455226B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180133532A (ko) * 2016-05-05 2018-12-14 어플라이드 머티어리얼스, 인코포레이티드 로봇 서브어셈블리들, 엔드 이펙터 어셈블리들, 및 감소된 균열을 갖는 방법들
KR20220043201A (ko) * 2019-08-08 2022-04-05 램 리써치 코포레이션 멀티-스테이션 프로세스 모듈에서 웨이퍼 이송을 위한 스핀들 어셈블리 (spindle assembly)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104658958B (zh) * 2015-02-13 2018-04-24 苏州工业园区纳米产业技术研究院有限公司 晶片抓取手臂
CN106032014A (zh) * 2015-03-13 2016-10-19 上海理想万里晖薄膜设备有限公司 一种机械手臂
CN105575865A (zh) * 2015-12-23 2016-05-11 苏州工业园区纳米产业技术研究院有限公司 一种适用于深硅刻蚀后薄片的深硅机台手臂的结构改造及改造方法
US10651067B2 (en) * 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
CN109461693B (zh) 2017-09-06 2023-06-02 台湾积体电路制造股份有限公司 晶片传送装置、晶片处理系统及方法
KR102322129B1 (ko) 2019-06-07 2021-11-04 블루테크코리아 주식회사 반도체 로봇용 엔드이펙터

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0661331A (ja) * 1992-08-06 1994-03-04 Tokyo Electron Tohoku Ltd 基板搬送装置
JPH0786372A (ja) * 1993-09-14 1995-03-31 Yaskawa Electric Corp ウエハ搬送フォークの製造方法
JPH10242235A (ja) * 1997-02-27 1998-09-11 Dainippon Screen Mfg Co Ltd 基板搬送装置
JP2001077171A (ja) * 1999-08-31 2001-03-23 Kyocera Corp セラミック製搬送アーム及びその製造方法
JP2002504750A (ja) * 1998-02-18 2002-02-12 アプライド マテリアルズ インコーポレイテッド 処理システムのウェーハハンドラーのためのエンドエフェクタ
US20030085582A1 (en) * 2001-07-13 2003-05-08 Woodruff Daniel J. End-effectors for handling microelectronic workpieces
JP2005305569A (ja) * 2004-04-19 2005-11-04 Mitsubishi Kagaku Sanshi Corp 機械用構造材およびロボットアーム装置用ハンド
JP2006110662A (ja) * 2004-10-14 2006-04-27 Toshiba Mach Co Ltd 産業用ロボット
JP2007208235A (ja) * 2006-01-06 2007-08-16 Tokyo Electron Ltd 基板搬送装置および基板支持体
JP2008172241A (ja) * 2007-01-11 2008-07-24 Applied Materials Inc 高温ロボットエンドエフェクタ

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3172900B2 (ja) 1993-02-19 2001-06-04 東京エレクトロン株式会社 基板搬送装置及び基板処理装置及び基板搬送方法及び基板処理方法
JPH0799225A (ja) * 1993-09-27 1995-04-11 Dainippon Screen Mfg Co Ltd 基板搬送装置
US5957651A (en) * 1995-06-08 1999-09-28 Kokusai Electric Co., Ltd. Substrate carrying apparatus
US5746460A (en) * 1995-12-08 1998-05-05 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US6267423B1 (en) * 1995-12-08 2001-07-31 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
EP0793261B1 (en) 1996-02-28 2005-01-05 Ebara Corporation Robotic transport apparatus having a guard against water
JPH10177999A (ja) 1996-10-15 1998-06-30 Ebara Corp 基板搬送用ハンド及びポリッシング装置
US6489741B1 (en) 1998-08-25 2002-12-03 Genmark Automation, Inc. Robot motion compensation system
JP2000183128A (ja) * 1998-12-17 2000-06-30 Komatsu Ltd ワーク搬送装置の制御装置
US7289230B2 (en) * 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
JP2004128021A (ja) 2002-09-30 2004-04-22 Mitsubishi Electric Corp ウェハ搬送装置
TWI356100B (en) * 2003-07-24 2012-01-11 Applied Materials Inc Shutter disk and blade for physical vapor depositi
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
KR20050108944A (ko) * 2004-05-14 2005-11-17 삼성전자주식회사 웨이퍼 이송장치
US20060216137A1 (en) * 2004-07-02 2006-09-28 Katsunori Sakata Carrying apparatus and carrying control method for sheet-like substrate
TW200618964A (en) 2004-10-14 2006-06-16 Toshiba Machine Co Ltd Industrial robot
US20060113806A1 (en) * 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
KR101198179B1 (ko) * 2005-01-17 2012-11-16 삼성전자주식회사 핸들링 로봇의 정적 처짐 보정방법 및 장치
JP2006332460A (ja) * 2005-05-27 2006-12-07 Hitachi High-Tech Control Systems Corp ウェーハの搬送装置

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0661331A (ja) * 1992-08-06 1994-03-04 Tokyo Electron Tohoku Ltd 基板搬送装置
JPH0786372A (ja) * 1993-09-14 1995-03-31 Yaskawa Electric Corp ウエハ搬送フォークの製造方法
JPH10242235A (ja) * 1997-02-27 1998-09-11 Dainippon Screen Mfg Co Ltd 基板搬送装置
JP2002504750A (ja) * 1998-02-18 2002-02-12 アプライド マテリアルズ インコーポレイテッド 処理システムのウェーハハンドラーのためのエンドエフェクタ
JP2001077171A (ja) * 1999-08-31 2001-03-23 Kyocera Corp セラミック製搬送アーム及びその製造方法
US20030085582A1 (en) * 2001-07-13 2003-05-08 Woodruff Daniel J. End-effectors for handling microelectronic workpieces
JP2005305569A (ja) * 2004-04-19 2005-11-04 Mitsubishi Kagaku Sanshi Corp 機械用構造材およびロボットアーム装置用ハンド
JP2006110662A (ja) * 2004-10-14 2006-04-27 Toshiba Mach Co Ltd 産業用ロボット
JP2007208235A (ja) * 2006-01-06 2007-08-16 Tokyo Electron Ltd 基板搬送装置および基板支持体
JP2008172241A (ja) * 2007-01-11 2008-07-24 Applied Materials Inc 高温ロボットエンドエフェクタ

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180133532A (ko) * 2016-05-05 2018-12-14 어플라이드 머티어리얼스, 인코포레이티드 로봇 서브어셈블리들, 엔드 이펙터 어셈블리들, 및 감소된 균열을 갖는 방법들
JP2019519913A (ja) * 2016-05-05 2019-07-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 亀裂が低減されたロボットサブアセンブリ、エンドエフェクタアセンブリ、及び方法
KR102195800B1 (ko) * 2016-05-05 2020-12-28 어플라이드 머티어리얼스, 인코포레이티드 로봇 서브어셈블리들, 엔드 이펙터 어셈블리들, 및 감소된 균열을 갖는 방법들
TWI736607B (zh) * 2016-05-05 2021-08-21 美商應用材料股份有限公司 機械手臂次組件、端效器組件,及具有減少斷裂的方法
KR20220043201A (ko) * 2019-08-08 2022-04-05 램 리써치 코포레이션 멀티-스테이션 프로세스 모듈에서 웨이퍼 이송을 위한 스핀들 어셈블리 (spindle assembly)
KR102631418B1 (ko) 2019-08-08 2024-01-29 램 리써치 코포레이션 멀티-스테이션 프로세스 모듈에서 웨이퍼 이송을 위한 스핀들 어셈블리 (spindle assembly)

Also Published As

Publication number Publication date
CN101303994A (zh) 2008-11-12
KR20080082922A (ko) 2008-09-12
EP1968110A3 (en) 2013-12-04
CN101303994B (zh) 2011-05-18
TW200845267A (en) 2008-11-16
EP1968110A2 (en) 2008-09-10
US9443752B2 (en) 2016-09-13
SG146547A1 (en) 2008-10-30
US20080219815A1 (en) 2008-09-11
KR100989721B1 (ko) 2010-10-26
TWI455226B (zh) 2014-10-01
JP5800447B2 (ja) 2015-10-28
EP1968110B1 (en) 2020-09-23

Similar Documents

Publication Publication Date Title
JP5800447B2 (ja) 基板移送用高温抗垂下エンドエフェクター
JP4404481B2 (ja) 真空処理システム、ウェーハハンドラーおよびエンドエフェクタ
JP6799395B2 (ja) 基板ホルダ、電子デバイス製造装置において基板を搬送する搬送システム、および電子デバイス製造装置
US7039501B2 (en) Method for determining a position of a robot
JP5675032B2 (ja) 高温ロボットエンドエフェクタ
JP2011504290A (ja) ウエハー反り測定の配置構造及び反り測定方法
KR20180056790A (ko) 기판 캐리어 시스템
US10535549B2 (en) Lift pin holder
KR20170102008A (ko) 기판 이송 메커니즘들
TWI816745B (zh) 橋接前開式晶圓傳送盒(foup)
US20030012631A1 (en) High temperature substrate transfer robot
KR102402754B1 (ko) 에피택셜 성장 장치 및 유지 부재
US20030014155A1 (en) High temperature substrate transfer robot
JP2022534738A (ja) 回転アライメントを必要とするエッジリングの自動搬送
KR20170113262A (ko) 정전 용량을 나타내는 데이터를 취득하는 방법
TW202140225A (zh) 電子處理系統的校準
TW202228946A (zh) 用於在製造系統處的處理套件或處理套件載體的中心尋找
US20240025670A1 (en) Substrate processing system carrier
JP2004134747A (ja) 高温基板移送用ロボット
JP2005340488A (ja) 電子デバイスの製造装置
US20090065995A1 (en) Ambidexturous Shuttle Spoon
TWI835911B (zh) 用於晶圓搬運的設備、方法、和非暫態電腦可讀媒體
TW202314949A (zh) 處理擋板裝置
JP2019121680A (ja) ウェーハ処理装置及びエピタキシャルウェーハの製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110307

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120509

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120814

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121114

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121119

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121217

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130111

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130213

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140117

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140128

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140320

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150318

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150621

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150825

R150 Certificate of patent or registration of utility model

Ref document number: 5800447

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250