JP2007535162A - 半導体デバイスおよびかかるデバイスを製造する方法 - Google Patents

半導体デバイスおよびかかるデバイスを製造する方法 Download PDF

Info

Publication number
JP2007535162A
JP2007535162A JP2007510185A JP2007510185A JP2007535162A JP 2007535162 A JP2007535162 A JP 2007535162A JP 2007510185 A JP2007510185 A JP 2007510185A JP 2007510185 A JP2007510185 A JP 2007510185A JP 2007535162 A JP2007535162 A JP 2007535162A
Authority
JP
Japan
Prior art keywords
semi
region
semiconductor
layer
insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007510185A
Other languages
English (en)
Inventor
ウィーボ、デー.ファン、ノールト
ペトルス、ハー.セー.マグネー
リス、カー.ナンベル
セリーヌ、ジ.デッシュブリー
ラモン、イェー、ハフェンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Koninklijke Philips NV
Koninklijke Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips NV, Koninklijke Philips Electronics NV filed Critical Koninklijke Philips NV
Publication of JP2007535162A publication Critical patent/JP2007535162A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0641Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type

Landscapes

  • Power Engineering (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Integrated Circuits (AREA)

Abstract

本発明は、導体線路を含む1つまたは複数の受動電子構成要素(20)がその上に設けられた、電荷を含む誘電体層(3、4)で覆われた、高抵抗半導体基板(2)を有する半導体本体(1)を備える半導体デバイス(10)であって、この受動素子(20)の位置で、ある領域(5)が半導体基板(2)と誘電体層(3、4)の間の境界面に存在し、その結果、この領域(5)の位置で、電荷によってデバイス(10)中に誘起された電気伝導チャネルの伝導度が制限される、半導体デバイス(10)に関する。本発明によれば、領域(5)は、堆積によって形成され、半絶縁材料を含む。その結果、反転チャネルが半絶縁領域(5)内に形成されるので、デバイス(10)は高周波電力損失が非常に低い。デバイス(10)はさらに、より高い温度バジェットを可能にし、したがって半導体本体(1)内への能動半導体素子(8)の集積化を可能にする。領域(5)に非常に適した半絶縁材料は、SiC、SIPOS、またはPOLYDOXである。

Description

本発明は、導体線路を含む1つまたは複数の受動電子素子がその上に配置された、電荷を含む誘電体層で覆われた、高抵抗半導体基板を有する半導体本体を備え、この受動素子の位置で、ある領域が半導体基板と誘電体層の間の境界面に置かれており、そのため、この領域の位置で、電荷によって半導体デバイス中に誘起された電気伝導チャネルの電気伝導度が低減される、半導体デバイスに関する。
本願では、「高抵抗半導体基板」とは、具体的にはその抵抗率が約1kΩcm以上であり、実際には1〜10kΩcmである半導体基板を意味するものと見なされるべきである。
高抵抗シリコン(HRS)は、集積化されてもよい非常に高品質(Q)の受動構成要素、シリコンの優れた熱伝導性、および従来のシリコン加工との適合性により、長い間無線周波数(RF)回路を集積化するための潜在的に理想的な基板と見られてきた。しかし、HRSの高いバルク抵抗率は、形成される寄生表面チャネルによって一般に覆い隠され、そのため、基板損失の増大、およびウェハ全体にわたる実効基板抵抗率の過度のばらつきを招いている。このような表面チャネルは、酸化物汚染、界面順位、あるいはフィールド酸化膜上の受動構成要素などの導電性構造物とシリコンの間の電気的バイアスの結果、シリコン/二酸化シリコンの境界面に集中する。
本願では、電気伝導チャネルは、反転チャネルでも、あるいは蓄積チャネルでもよい。このチャネルは誘電体層内の電荷によって決まるが、それは実際にはしばしば正電荷であり、その結果n型チャネルが形成され、また、半導体基板の導電型によって決まる。例えばp型基板の場合には反転が生じ、一方、n型基板の場合には蓄積が生じる。本発明はまた、そのようなデバイスの製造方法にも関する。
冒頭に述べたタイプのデバイスおよび方法は、1996年11月29日公開の特開平08−316420から知られる。前記文書には、その上に配線が設けられた二酸化シリコン層の形の誘電体層が設けられた、高抵抗シリコン基板を備えるデバイスについての記載がある。シリコン基板と二酸化シリコン層の間の境界面での、反転層または蓄積層の発生によって引き起こされる高周波電力損失を抑制するために、多結晶シリコン領域またはアモルファスシリコン領域が前記境界面に置かれ、この領域は、イオン注入を用いてシリコン基板の結晶構造を損壊させることによって形成される。このように形成された領域は、形成された反転チャネルまたは蓄積チャネルの電荷を、その置かれた位置で捕捉し、それによってチャネルの伝導度を制限し、さらにはチャネルを局部的に遮断さえもする、複数の電荷トラップを有する。
この既知のデバイスの欠点は、依然として、ときには高抵抗半導体基板の実効抵抗の減少に対応する問題を示すことにある。
したがって、本発明の一目的は、半導体基板がより多くの状況において高抵抗挙動を示す、冒頭に述べたタイプのデバイスを提供することである。本発明はまた、そのようなデバイスを製造する簡単な方法を提供することをも狙いとする。
この目的を達成するために、本発明によれば、冒頭に述べたタイプのデバイスは、その領域が堆積を用いて形成され、半絶縁性材料を含むことを特徴とする。
本発明はまず第一に、より低い抵抗値を有する半導体基板の開発の課題が、半導体素子も半導体本体内に集積されているデバイスで特に見出されるという認識に基づいている。関連するプロセスは、ときには、特に製造プロセスの初めに、比較的高い温度バジェット(temperature budget)を必要とする。比較的高温での熱処理が、既知のデバイスの多結晶領域またはアモルファス領域の結晶度の回復を可能にし、それによって電荷トラップの密度の減少をもたらし、その結果、電気伝導チャネルの形成が起こり得るようになる。
本発明はさらに、この領域を堆積させることが、この領域用に使用される材料の自由な選択を可能にするという認識に基づいている。この領域用の材料としていわゆる半絶縁性材料を選択することにより、一方では、領域の位置での電気伝導チャネルが、半導体基板内ではなく半絶縁領域内に形成されることが達成されることができる。このような材料の薄い層の伝導度は、本発明の目的には十分である。他方では、このような材料は、その中に形成されたチャネルがほとんど、またはまったく、実効基板抵抗の減少をもたらさないほど高い抵抗率、または低い移動度を示すことがある。
最後に、本発明は、このような材料がしばしば比較的高い温度バジェットを有するという認識に基づいている。例えば、この領域はSiCまたはC(ダイヤモンド)からなる半絶縁領域でもよい。このような領域は、シリコン上に単結晶の形で設けられる半絶縁層をパターニングすることによって形成されるものでもよく、その場合には、その抵抗率は再結晶によって変化することがない。より一般的には、このような半絶縁材料は、多結晶性またはアモルファス性であるが、その挙動は、多結晶シリコンまたはアモルファスシリコンよりも温度依存性が低くなる。
本発明による半導体デバイスの好ましい一実施形態では、堆積された領域の半絶縁材料は、シリコンと酸素の混合物を含む。「SIPOS」(半絶縁性多結晶酸素ドープシリコン Semi−Insulating Polycrystalline Oxygen−Doped Silicon)という名で知られ、また「POLYDOX」という名でも知られる、このような材料は、一方では所望の特性を有し、他方では、酸素ガス、または少なくとも酸素含有化合物、例えば酸素と窒素の化合物を含むガスが加えられた、例えばシラン(SiH)の分解によって容易に形成されることができる。
より一般的には、適切に堆積された半絶縁材料は、10kΩcm〜30GΩcmの範囲の抵抗率を有し、好ましくは1MΩcm〜1GΩcmの範囲の抵抗率を有する。上述のSIPOS/POLYDOX以外に、その他の材料もまた本発明の目的に適している。例えば、酸素の代わりに窒素が、適切な濃度でシリコンに加えられてもよい。また、シリコン、酸素、窒素、および/または必要な場合には、ゲルマニウムや炭素など他の元素の混合物/化合物が、適切な半絶縁性材料を形成することもある。
半絶縁層の好ましい材料はSiCであり、1011Ωcm台の高い面積抵抗率、高抵抗シリコン基板に対する良好な不活性化特性、および低い機械的応力を有する。
シリコンと炭素の組成は、低温のIC適合堆積プロセス(例えばPECVD)で調整されてもよく、その結果、堆積させたままの被膜で360MPa未満の範囲の低い機械的応力(圧縮応力)が得られる。この応力はさらに、20MPaまで低減され、さらには600℃での堆積後のアニールによって低い引張り応力にさえシフトされることができる。温度処理後に抵抗率の変化はなかった。
SiC層は、HFやKOHのような一般的に使用される湿式エッチング液に対して優れたエッチング耐性を有する。SiC層のパターニングは、フッ素をベースとした化学薬品を用いて、従来の乾式エッチング装置で行なわれることができる。
この温度的に安定なSiC不活性層が、ごく早期に、半導体デバイス製造のフロントエンドプロセスにおいて高抵抗半導体基板上に形成されることができることは、主要な利点である。このSiC層は、ドーパント活性化アニール段階の前に半導体デバイス内に設けられることができる。このドーパントの活性化は、通常700℃超、典型的には900〜1100℃の範囲の高温で行なわれる。
SiC層の優れた熱安定性が、低い機械的応力および高いエッチング耐性とあいまって、この材料を半絶縁層に非常に適したものにしている。さらに、表面の不活性化を達成するのに、SiC層は非常に薄くてもよい。この層の一様性は、層をどれだけ薄くできるかを決定し、例えば分離された島形成、大きなピンホールは避けられるべきである。しかし表面分離層に関しては、電気絶縁破壊の問題がない。
特に好ましい一実施形態では、堆積される半絶縁領域は、半導体基板内に形成された凹部の底部に位置している。そのため、本明細書で後に説明するように、この領域は非常に簡単な方法で形成されることができる。加えて、このような凹部は、ダイオードやトランジスタなどの半導体素子が半導体本体内に形成されてその中に集積化される場合に使用されることがある、いわゆるトレンチアイソレーションを形成するためにも容易に使用されることができる。
魅力的な一変更形態では、半導体本体は、1つまたは複数の半導体素子がその中に集積化されている半導体領域を有する。
この半導体領域は、適切なイオン注入によって形成されてもよいが、堆積によって形成されてもよい。例えば伝送線や結合導体、または結合領域を形成してよいが、またコンデンサやコイルなどの素子を含んでもよい、導体線路を含む受動素子は、好ましくはデバイスのある部分に位置し、一方、集積化される半導体素子は、デバイスの別の部分に位置する。
好ましくは、この領域は、相互に分離されたいくつかの条片形のサブ領域を有する。その結果、形成された反転チャネルまたは蓄積チャネルのかなりの部分が、半絶縁領域内に位置するようになる。加えて、その領域の長手方向は、好ましくは導体線路などの受動素子の長手方向とほぼ平行に延びる。そのため、該当する導体線路に対するこの領域の動作が最適になる。これらの受動素子によって基板内に誘起された電流は、条片形領域/条片形サブ領域をほぼ直角に横切ることになる。加えて、互いに垂直な2方向に延びるサブ領域が設けられてもよい。条片形領域が前述のいわゆるアイソレーショントレンチ内に位置する場合は、条片形領域は、好ましくは受動素子が存在するデバイスの部分、ならびに集積化された半導体素子が存在するデバイスの部分に存在する。デバイスの後者の部分では、堆積された半絶縁材料の条片形サブ領域は、いわゆるチャネルストッパとして働いてもよい。
電荷を有する誘電体層が高抵抗半導体基板上に形成され、導体線路を含む1つまたは複数の受動電子素子が前記誘電体層上に設けられ、その受動素子の位置で、ある領域が半導体基板と誘電体層の間の境界面に形成され、その結果、動作中に、電荷によって半導体デバイス内に誘起された電気伝導チャネルの伝導度がこの領域の位置で低減される、半導体本体を有する半導体デバイスを製造する方法は、本発明によれば、この領域が堆積を用いて形成され、半絶縁材料がこの領域用の材料として選択されることを特徴とする。好ましくは、シリコンと酸素の混合物が、この半絶縁材料として選択される。
好ましい一実施形態によれば、側壁が絶縁スペーサで覆われた凹部が半導体基板内に形成され、その後、薄い半絶縁層ならびに誘電体層がデバイスの表面に堆積され、それによって凹部は完全に充填され、その後、化学的機械研磨によってデバイスが平坦化され、このプロセスで、凹部の外側に位置する半絶縁層および誘電体層の各部分が除去される。
適切な一変更形態では、この絶縁スペーサは、凹部の壁および底部を熱酸化処理にかけることによって形成され、その後、凹部の底部に形成された熱酸化物が、異方性エッチングを用いて再び除去される。
好ましくは、半絶縁層の、デバイスの表面と境界を接する部分は、酸化によって誘電体領域に転換される。
本発明の上記およびその他の態様は、以下で説明される実施形態から明らかであり、またこれらの実施形態に関して明らかにされるであろう。
図面は原寸に比例して描かれておらず、厚さ方向の寸法など一部の寸法は、図を見やすくするために誇張されている。異なる図中の対応する領域または部分は、可能な場合は常に同じハッチングまたは同じ参照数字を用いて示されている。
図1A〜Bは、本発明による半導体デバイスの厚さ方向に直角な断面図である。半導体デバイス10は、この実施形態では抵抗率が2〜4kΩcmのシリコンのp型半導体基板2を用いた半導体本体1を有する。
100nmの低応力PECVDのSiC膜が、p型高抵抗基板(HRS)上に設けられる。このSiC膜は、デバイスの集積化プロセスフローの前に、HRS表面不活性化を、すなわち標準シリコンプロセスでの出発ウェハに相当するものとして提供する。
アモルファスSiC膜を堆積させるために、Novellus Concept One PECVD方式が使用される。SiCの堆積に使用される主な堆積パラメータは:温度が400℃、圧力が2.25torr、ガス流量がSiH100sccm、CH3000sccm、電力が1000W(HF=500W;LF=500W)である。SiCの堆積速度は、上述の堆積パラメータを使用して、約1%の均一性で670Å/分である。2.4の屈折率がSiC膜で測定された。
このSiC膜の面積抵抗率は、1011Ωcm台である。堆積させたままの被膜では360MPa未満の範囲の低い機械的応力(圧縮応力)が得られる。この応力はさらに、20MPaまで低減され、さらには600℃での堆積後のアニールによって低い引張り応力にさえシフトされることができる。
この実施形態では、SiC領域5は、100nm厚のアモルファスSiC層をパターニングすることによって形成される。フォトレジストがエッチングマスクとして使用される。SiC膜のパターニングは、Alcatel反応性イオンエッチング装置(RIE)で、60Wの電力および0.05mbarの圧力を用いて、乾式エッチングによって行なわれる。ガス流量は、CF70sccm、SF10sccm、O10sccmであった。
これらの条件で、SiCについて80nm/分のエッチング速度が3%の均一性で測定された。
SiC領域5が形成された後、能動デバイスが製造される(図1A参照)。この実施形態ではバイポーラトランジスタが製造されるが、本発明は、CMOS、BiCMOS、個別トランジスタ、あるいはIC技術を用いて製造されるその他の任意の半導体素子の製造プロセスにおいても同様に機能する。
シリコンの抵抗率が非常に高いと、結果としてコレクタ−基板接合部の過度に広い空間電荷領域が生じるため、また表面チャネル効果の故に、トランジスタの高密度集積のための特別なpウェル分離構造が開発された。2×1015cm−3のピークドーピング濃度でボロンをドープした深さ3μmのpウェルが、n/nコレクタ構造の形成前に、注入および熱アニーリングによって作成される。各トランジスタの周囲でこのように増大させたp型ドーピングレベルは、狭いコレクタ−基板の空間電荷領域、およびウェハ表面での寄生伝導チャネルを防止するチャネルストッパをもたらす(図1B)。
後続のプロセス段階は、n埋込み層の注入およびドライブイン、nドープSiエピタキシ、HRS基板へのnエピ(n−epi)のトレンチエッチング、30nmの熱酸化物の成長、およびSiC領域上の湿式エッチング除去を含む。
本発明によるデバイスの性能は、不活性化されていないウェハ部分(基準)と比較される。
図1Bでは、0.3μmのSiOからなる誘電体層3が、SiC領域5の上に堆積されている。
バイポーラトランジスタはさらに、p分離領域、コレクタペデスタル、コレクタプラグ、およびエミッタ−ベース領域の注入;表面分離領域の堆積および接点窓のパターニング;950℃でのドーパントの熱活性化によって製造される。
0.3μmのSiOからなる第2の誘電体層4が、SiC領域5の上に堆積される。受動素子が、能動デバイス相互接続の加工と同じ製造段階で、3μm厚の第2のメタライゼーション層内に形成される。
表面チャネルの形成の影響を特に受けやすい平面内導波路(CPW)が、特徴付けの目的で使用された。電気伝導チャネルは、半絶縁領域5内に誘起される。
図2Aは、本発明による100nm厚のSiC不活性化層(a)、および(b)SiC不活性化層のないウェハ部分(基準)の、静電容量−電圧の変動を示す。
図2Bは、本発明による100nm厚のSiC不活性化層(a)、および(b)SiC不活性化層のないウェハ部分(基準)の、周波数を関数とする面内導波路減衰を示す。
表面が不活性化されたCPWは、不活性化が何らされていない場合の約8dB/cmに比べて、30GHzまで1〜2dB/cmという非常に良い減衰値を実現した。表面が不活性化されたCPWのウェハ部分の減衰が低減される以外に、減衰のパラメータの変動が、非不活性化ウェハ部分に比べてかなり低減される。
図3は、SiC薄膜堆積が(バイポーラ熱処理の前後で)共に同じ減衰値になり、CPWの減衰も、付随する変動も最適になることを示す。
図4では、表面不活性化されている場合とされていない場合の、3.8nHのインダクタ(R=321μm、N=2)の測定されたQ(quality factor)が示されている。また20個のサンプルによる標準偏差も示されている。測定された値は、ADS Momentumを使用して、HRSバルク特性を入力パラメータとしたEMシミュレーションと比較されている。
集積化されたらせんインダクタでは、表面不活性化された領域5の場合、最大Q、およびQのばらつきは、最大Q(1.5GHzにおいて)=13.2±1.15(基準)から最大Q(2.85GHzにおいて)=28.1±1.4に改善された。このように、最適化された表面不活性化および設計は、2倍よりも高いインダクタのQ、および5分の1よりも小さいQのばらつきをもたらすことができる。表面不活性化は、実効基板損失を大幅に低減させるだけでなく、集積化された受動構成要素の諸特性のばらつきを小さくもする。
図5は、能動デバイスおよび受動構成要素の正確なパラメータ制御の実現可能性、ならびに高いインダクタのQの効果を実証するために使用された、進行波増幅器を示す。この回路は、前述したように25GHzのバイポーラプロセスで製作された。標準の2〜5Ωcmの低抵抗基板(LRS)から2〜4kΩcmHRS基板への変更の、バイポーラトランジスタのパラメータに対する影響は、無視できるほどのものであった(表1参照)。
Figure 2007535162
図6は、製作された進行波増幅器の写真を示す。
この回路は、コンパクトトランジスタモデルMEXTRAMと、インダクタおよび相互接続を配置するためのEMシミュレータADS Momentumを使用して設計された。
進行波増幅器のオンウェハ(on−wafer)で測定された特性は、設計と実験の間で非常に良い一致を示し、それによって不活性化HRS基板を採用すれば単一設計サイクル手法が実現可能になることを示した(図7)。表面不活性化HRSは、そのバルク特性によって正確に記述されることができる真のマイクロ波基板であり、したがってレイアウトシミュレーションからチップ集積化への移行が単一サイクルで達成されることができ、それによって迅速な設計の実現を低コストで可能にする。
本発明による表面不活性化を伴うHRS上に作製された分布型7.5GHz進行波増幅器の、オンウェハで測定された特性は、表面不活性化なしの基準に比べて5dBの改善を示す。
非常に高いインダクタQの故に、f−3dB/fT=0.57の性能指数は、III〜V技術による最先端技術の結果と同等であった。
図8は、本発明による半導体デバイスの第2の実施形態の、厚さ方向に直角な断面図である。図9〜15は、本発明による方法の一実施形態を使用した製造の連続する諸段階における、図8でIIの参照符号が付けられた部分の厚さ方向に直角な断面図である。半導体デバイス10(図8参照)は、抵抗率の範囲がこの場合は5〜10kΩcmの、シリコンの半導体基板2を用いた半導体本体1を有する。デバイス10の表面は、シリコン酸化物の絶縁誘電体層4で覆われる。この表面には、この場合は3つの凹部6、66が、ここでは溝66、および谷6の形をとって設けられる。この凹部は、この場合はHDP(=高密度プラズマ High Density Plasma)を使用して生成されたシリコン酸化物を含む、さらなる絶縁誘電体層4で充填される。凹部6、66の壁には、この場合はシリコン酸化物の、スペーサ11が設けられる。この場合はSIPOSを含む薄い半絶縁層15は、スペーサ11と、凹部6、66を充填する誘電体層4との間に位置している。
半絶縁SIPOS層の、凹部6、66の底部と境界を接する部分5、55は、一方では、動作中に形成される反転チャネルまたは蓄積チャネルがその中に組み込まれることを可能にするのに十分な高い伝導度を有し、他方では、前記部分は、反転チャネルまたは蓄積チャネルの形成により半導体基板2の実効抵抗の減少が起きないようにするのに十分に高い抵抗である。SIPOS層15の、半導体本体1の表面と境界を接する部分15Aは、(完全な)熱酸化によって電気絶縁/誘電材料に転換される。
この例のデバイス10は、線I−Iの左側および右側にそれぞれ位置する2つのサブ領域A、Bを有する。この左側の部分Aは、2つの凹部6の間に半導体領域7を有し、この領域内にいくつかの半導体素子8が集積されるが、そのうちの1つだけが図8に示されている。SIPOS層15の、凹部66の底部に位置する部分55は、半導体領域7、およびその中に存在する半導体素子8のチャネルストッパとして働く。デバイス10の右側の部分Bは、導体線路20を含む受動素子20を有する。SIPOS層15の、凹部6の底部に位置する部分5が存在するため、前記位置で半導体基板2の実効抵抗が実質的にまたはまったく低減されず、したがって前記部分での高周波損失は非常に限られたものになる。この例のデバイス10は、本発明による方法を使用して以下のように製造される。
出発基板(図9参照)は、この場合はシリコンの、高抵抗半導体基板2であり、その上に二酸化シリコン(SiO)の誘電体層3が熱酸化を用いて設けられる。前記層上に、この場合は窒化シリコン(SiO)の、さらなる誘電体層13が、この場合はCVD(=化学的気相成長Chemical Vapor Deposition)を用いて設けられる。続いて、フォトリソグラフィを用いてパターニングされるフォトレジスト層を施すことによって、前記誘電体層上にマスクMが設けられる。
次いで(図10参照)、誘電体層3、13の、このマスクの外側に位置する部分が、例えばリン酸(HPO)や、フッ化水素(HF)水溶液を用いるエッチングによって除去される。
続いて(図11参照)、フォトレジストマスクMの除去後、凹部6、66が、乾式エッチング処理を用いて半導体基板2内に形成される。好ましい一変更形態では、誘電体層3、13もまた、乾式エッチング処理を用いて除去される。この場合には、図9に示された段階を出発点として、図11に示された段階に単一のプロセスステップで到達する。図9〜15は、凹部6の一部分と、基板2のそれに隣接する部分のみを示していることに再度留意されたい。次いで、薄い酸化物層16が、わずかな熱酸化によって凹部6の壁上および底部に形成される。この層は、凹部6と境界を接するかもしれない電気的に活性の半導体領域間に適当な境界をつける。
続いて(図12参照)、二酸化シリコンのスペーサ11が、凹部6の壁に接して位置するように形成される。これは、例えばCVDを用いて、まず半導体本体2の表面全体の上に均一な誘電体層11を堆積させることによって達成され、その後、前記層11の、半導体本体表面に平行に延びる部分が、異方性乾式(プラズマ)エッチングを用いて再び除去され、その結果スペーサ11が残る。
好ましい一変更形態では、スペーサ11は、薄い酸化物層16から、その凹部6の底部に位置する部分を異方性エッチングを用いて除去することによって形成される。この場合には、各図に示されている誘電体層11の堆積は省略されることができる。これは、凹部6の横方向の寸法が非常に小さい場合、および類似の凹部66がデバイス10の部分Aに形成される場合に特に有利である。実際のところ部分Aでは、前記寸法の小さいことが、先端的ICプロセスを適用するために非常に重要である。薄い酸化物層16から形成されたこのようなスペーサ11のさらなる利点は、それがプロセスの後の段階で上方からの意図的でないわずかなエッチングを受けにくいことにある。その結果、平坦度/デバイス10が平坦なままであること、が改善される。
次いで、SIPOS層15が、CVDを用いて表面に堆積される。
続いて(図13参照)、凹部6を完全に充填する誘電体層14が堆積される。
次いで、(図14参照)、誘電体層13、14およびSIPOS層15の、凹部6の外側に位置する各部分が、CMP(=化学的機械研磨Chemical Mechanical Polishing)プロセスを用いて除去される。このプロセスで、デバイス10は平坦化される。
続いて(図15参照)、SIPOS層15の、表面と境界を接する部分15Aが、熱酸化プロセスを用いて電気絶縁誘電材料に転換される。図8に示されている、デバイス10の表面での状態がこうして実現される。半導体領域7は、デバイス10の左側の部分A内に、例えばイオン注入を用いて形成されてもよい。半導体素子8は、通例のようにIC(=集積回路Integrated Circuit)技術を使用して、この領域内に形成される。
次いで、デバイスの右側の部分Bでは、いかなる場合でも導体線路20を含めて受動素子20が、デバイス10の(電気絶縁された)表面に形成される。この受動素子はさらに、抵抗、コンデンサ、またはコイルを含んでもよい。この領域Bでは、個別半導体素子もまた、表面に実装されてよい。いわゆるボンドパッドの形をとる、領域B内にある接続領域が、ワイヤ接続を用いて、例えば導体フレームの各導体への外部電気接続をデバイスに提供してよい。
図16は、平面内導波路を概略的に示す。(HRS)基板は、5kΩcmという高い抵抗率を有するFloat Zone Siliconから作製される。SiO(さらにSICSと表される)の12nm厚の半絶縁層が、低圧化学的気相成長(LP−CVD)プロセスで堆積される。200nm厚のTEOS層の堆積後、ウェハは、温度900〜1100℃で可変の時間、不活性ガス中でアニールされる。次いで、50nmの窒化物および500nmの酸化物からなる層状構造が設けられ、その後2μm厚の銅層が設けられ、続いてこの銅層は、シングルダマシンプロセスでCMPを用いて平坦化される。ダマシン構造内の銅は、厚さ2μmである。平面内導波路の全長は1mmである。銅線路の幅は30μmで、各銅線路間の間隔は6.5μmである。
比較のために、同じ平面内導波路が、7Ωcmの抵抗率を有するSi基板上、ならびにガラスの基板上にも製作された。
図17は、上述の3種の基板、すなわち、7Ωcmの抵抗率を有する標準のSi基板、ガラス基板、ならびに本発明による半絶縁層(SICS)を備えるまた備えない5kΩcmの抵抗率を有する高抵抗基板上の、平面内導波路の各損失を示す。半絶縁層が設けられた高抵抗Si基板の基板損失は非常に低く、ガラス基板に近い。SICSが設けられた高抵抗基板の損失は、100GHzまでの測定周波数で、標準Si基板の損失の2分の1である。
図18は、誘電体層および基板を通って進む信号の、グランドまでの並列コンダクタンスを示す。このデータはSパラメータ測定値から得られた。並列伝導が小さい場合には、基板内でほとんど信号損失がない。半絶縁層内の電荷の移動度は、高抵抗半導体基板内の移動度よりも少なくとも3桁小さい。トラップの密度は、半絶縁層内で約1012at/cmである。したがって図18は、SICSが設けられた高抵抗基板の基板損失が、標準Si基板、およびSICSのない高抵抗基板それぞれの100分の1、および10分の1であることを示す。
図19では、並列コンダクタンスは、温度処理にかけられた異なるサンプルの測定データから導き出されている。
SICS層はその特性を、900℃での30分の熱酸化処理の間、あるいは1100℃での急速温度アニール(RTA)後も保持している。1100℃で30分後に、この層はその熱限界に達し、その並列コンダクタンスは標準の低抵抗Siと等しくなる。これらの実験は、熱バジェット全体が、SICS層を現在のCMOSプロセスに適用するのに十分な大きさになるように選択されることができることを示している。
別の実施形態では、半絶縁層は、標準CMOSプロセスに適用される。図20は、浅いトレンチ分離(STI)構造内で半絶縁層を集積する方法を示す。
図9〜15を参照して説明した方法の代わりに、この実施形態では、トレンチ底部の酸化物がエッチングステップで除去される(図20−(2))。追加ステップが2つだけ、すなわちウェハ全体の(マスクを用いない)異方性エッチングステップ、および半絶縁層の堆積ステップが適用される。この実施形態では、半絶縁層は、LP−CVDプロセスで堆積されるSIPOS層である。半絶縁層の導入では、トレンチ内の酸化物層の堆積(この実施形態では、酸化物層は高密度プラズマ酸化物(HDP酸化物))およびCMPプロセスを適応させる必要がない。
続いて、図20−(6)では、STI内の半絶縁層のチャネルストッパ動作をテストするためのテスト構造が製作されている。高ドープp領域が、トレンチの両側に設けられる。トレンチのいずれかの側で活性領域とのオーバラップを示す金属ゲートを用いて、チャネルRchの抵抗が、高抵抗n型基板内で測定されることができる。この金属ゲートは、幅3000μm、長さ1μmである。
図21は、STI内の半絶縁層がどのようにチャネルストッパとして働くかを示す。チャネルRchの抵抗は、ゲート電圧Vgateを関数として−80〜+80Vの範囲にわたって測定される。各ゲート電圧で、ある電流がトランジスタのソースに注入される。チャネル抵抗を求めるために、4点測定技法が使用される。半絶縁チャネルストッパを備えない高抵抗基板(SICSでなくHRS)の場合には、そのチャネルストッパ抵抗は、スレッショルド電圧付近でかなりの変動(振動)を示す。その結果、酸化物内の固定電荷の小さなばらつき、または基板の非常に低いドーピングレベル(1012〜1024at/cm)のばらつきが、容易にチャネルの伝導度の実質的な変化を招き得る。STI内の半絶縁層は、チャネル抵抗Rchの変化を制限し、したがってウェハ全体にわたる基板損失の広がりに対する制御を改善する。
図22は、ウェハ当たり42個のダイについての、チャネル抵抗Rchの平均値および分布を示す。半絶縁チャネルストッパは、再現性を改善し、したがって高抵抗基板を損失のない基板として使用するためのプロセスウィンドウを拡大する。
図23は、STI構造内の半絶縁層によって、CMOS環境において影響を受けることが有り得る、いくつかのパラメータを示す。半絶縁層によって影響を受けるかもしれないパラメータは:(1)N/Pウェルダイオード電流、(2)Pウェル抵抗、(3)Nウェル/Pウェルダイオード電流、(4)P/Nウェルダイオード電流である。
図24は、図23に示された各ダイオード電流の比較を、a)本発明によらない高抵抗基板(実線)、およびb)本発明による半絶縁チャネルストッパを備える高抵抗基板(破線)について示す。N/Pウェルダイオードの発生・再結合電流のわずかな増加は別として、SICS層は、能動デバイスの挙動に影響を与えない。
図25は、30μm幅の2つのP++フィンガパトロン(finger patron)間で測定されるpウェル抵抗を示す。pウェルの幅は、2つの条片形Nウェルパターンによって制限される。チャネルRchの各抵抗値は、a)本発明によらない基準(正方形付き破線)と、b)本発明による半絶縁層を備えるもの(三角形付き実線)とでほぼ同じである。
本発明は、本明細書に記載された実施形態の例に限定されず、本発明の範囲内で多くの変形形態および変更形態が、当業者には可能である。例えば、異なる形状、および/または異なる寸法を有するデバイスが製造されてもよい。受動デバイスだけでも、あるいは表面に個別半導体素子が実装されたデバイスでも可能であることに特に留意されたい。
例で述べられた以外の材料も、本発明の範囲内で使用されてもよいことに留意されたい。上述の材料、またはその他の材料に対して、エピタキシ、CVD(=化学的気相成長)、スパッタリング、気相成長などその他の堆積技術を利用することも可能である。湿式化学エッチング法の代わりに、プラズマエッチング法など「乾式」技術が使用されることもでき、またその逆もできる。
本発明による半導体デバイスの厚さ方向に直角な断面図である。 本発明による半導体デバイスの厚さ方向に直角な断面図である。 a)表面不活性化なしの場合、およびb)本発明によるSiCの半絶縁層がある場合の、静電容量電圧特性を示す線図である。 a)表面不活性化なしの場合、およびb)本発明によるSiCの半絶縁層がある場合の、平面内導波路減衰量を示す線図である。 バイポーラ熱処理前のSiC薄膜堆積、およびバイポーラ熱処理後のSiC薄膜堆積によって、表面が不活性化された平面内伝送線の減衰量を示す線図である。 高抵抗半導体基板(HRS)上、ならびに本発明によりHRS表面を不活性化する半絶縁層があるHRS上の、インダクタの測定されたQを示す線図である。 らせんインダクタ遅延部を有する進行波増幅器回路の回路図である。 製作された進行波増幅器の写真である。 表面不活性化なしのHRS上、ならびに本発明による表面不活性化を伴うHRS上の、図6の分布型進行波増幅器の測定された特性を示す線図である。 本発明による半導体デバイスの第2の実施形態の、厚さ方向に直角な断面図である。 本発明による方法の一実施形態を使用する製造の一段階における、図8でIIの参照符号が付けられた部分の厚さ方向に直角な断面図である。 本発明による方法の一実施形態を使用する製造の図9に続く一段階における、図8でIIの参照符号が付けられた部分の厚さ方向に直角な断面図である。 本発明による方法の一実施形態を使用する製造の図10に続く一段階における、図8でIIの参照符号が付けられた部分の厚さ方向に直角な断面図である。 本発明による方法の一実施形態を使用する製造の図11に続く一段階における、図8でIIの参照符号が付けられた部分の厚さ方向に直角な断面図である。 本発明による方法の一実施形態を使用する製造の図12に続く一段階における、図8でIIの参照符号が付けられた部分の厚さ方向に直角な断面図である。 本発明による方法の一実施形態を使用する製造の図13に続く一段階における、図8でIIの参照符号が付けられた部分の厚さ方向に直角な断面図である。 本発明による方法の一実施形態を使用する製造の図14に続く一段階における、図8でIIの参照符号が付けられた部分の厚さ方向に直角な断面図である。 平面内導波路(CPW)の断面図である。 異なる伝導度の3種のシリコン基板上の平面内導波路の損失を示す線図である。 誘電体層および基板を介したグランドまでの、信号の並列コンダクタンスを示す線図である。 半絶縁チャネルストッパ(SICS)を備え、異なる温度で、また異なる時間、温度段階にかけられた各構造体の並列コンダクタンスを示す線図である。 凹部の底部の絶縁層が、半絶縁層が堆積される前にエッチングによって除去され、図20−(6)が、凹部内の半絶縁層(STI)の効果を測定するために使用される構造を示す、本発明の一代替実施形態を示す図である。 反転チャネルの抵抗をゲートの電圧の関数として示す線図である。 高抵抗率をもつ異なるウェハ上の反転チャネルの、0Vのゲート電圧で測定された抵抗を示す線図である:a)本発明によらないSi基準ウェハ;b)本発明による半絶縁チャネルストッパ(SICS)を備える同じSiウェハ。 STI構造内の半絶縁層によって影響を受けるかもしれない、いくつかのパラメータを示す図である。 図23に示したダイオード電流を示す線図であり、a)本発明によらない基準、b)半絶縁チャネルストッパ(SICS)である。 pウェルの抵抗を示す線図であり、a)本発明によらない基準、b)本発明による半絶縁層である。

Claims (17)

  1. 導体線路(20)を含む1つまたは複数の受動電子素子(20)がその上に配置された、電荷を含む誘電体層(3、4)で覆われた、高抵抗半導体基板(2)を備え、前記受動素子(20)の位置で、ある領域(5)が前記半導体基板(2)と前記誘電体層(3、4)の間の境界面に置かれており、そのため、前記領域(5)の位置で、電荷によってその中に誘起された電気伝導チャネルの電気伝導度が低減される半導体デバイス(10)であって、前記領域(5)が堆積を用いて形成され、半絶縁性材料を含むことを特徴とする、半導体デバイス(10)。
  2. 堆積された前記領域(5)の半絶縁材料がシリコンと酸素の混合物を含むことを特徴とする、請求項1に記載の半導体デバイス(10)。
  3. 前記半絶縁材料の抵抗率が10kΩcm〜30GΩcmの範囲にあり、好ましくは1MΩcm〜1GΩcmの範囲にあることを特徴とする、請求項1または2に記載の半導体デバイス(10)。
  4. 前記半絶縁材料がSiC化合物であることを特徴とする、請求項1または3に記載の半導体デバイス(10)。
  5. 堆積させたままのSiC化合物材料の層内の応力が360MPa未満であることを特徴とする、請求項4に記載の半導体デバイス(10)。
  6. 前記領域(5)が、前記半導体基板(2)内に形成された凹部(6、66)の底部に位置していることを特徴とする、前記請求項のいずれか一項に記載の半導体デバイス(10)。
  7. 半導体本体(1)が、1つまたは複数の半導体素子(8)がその中に集積化された半導体領域(7)を有することを特徴とする、前記請求項のいずれか一項に記載の半導体デバイス(10)。
  8. 前記領域(5)が、相互に分離されたいくつかの条片形のサブ領域(5、55)を有することを特徴とする、前記請求項のいずれか一項に記載の半導体デバイス(10)。
  9. 電荷を含む誘電体層(3、4)が高抵抗半導体基板(2)上に形成され、導体線路(20)を含む1つまたは複数の受動電子素子(20)が前記誘電体層上に設けられ、前記受動素子(20)の位置で、ある領域(5)が前記半導体基板(2)と前記誘電体層(3、4)の間の境界面に形成され、その結果、前記領域(5)の位置で、電荷によってその中に誘起された電気伝導チャネルの電気伝導度が低減される半導体デバイス(10)を製造する方法であって、前記領域が堆積を用いて形成され、半絶縁材料が前記領域(5)の材料として選択されることを特徴とする方法。
  10. シリコンと酸素の混合物が前記半絶縁材料として選択されることを特徴とする、請求項9に記載の方法。
  11. 前記半絶縁材料の抵抗率が、10kΩcmから30GΩcmの範囲内で選択され、好ましくは1MΩcmから1GΩcmの範囲内で選択されることを特徴をする、請求項9または10に記載の方法。
  12. 前記半絶縁層が、基板内の能動半導体素子の製造プロセスの前に堆積されることを特徴とする、請求項9から11に記載の方法。
  13. 前記層の前記半絶縁材料がシリコンおよび炭素を含むことを特徴とする、請求項9、11、または12に記載の方法。
  14. SiC層がアモルファス性であることを特徴とする、請求項13に記載の方法。
  15. 側壁が絶縁スペーサ(11)で覆われた凹部(6、66)が半導体基板(2)内に形成され、その後、薄い半絶縁層(15)ならびに誘電体層(12)が前記デバイス(10)の表面に堆積され、それによって前記凹部(6)は完全に充填され、その後、化学的機械研磨によって前記デバイス(10)が平坦化され、このプロセスで、半絶縁層(15)および誘電体層(12)の、凹部の外側に位置する諸部分が除去されることを特徴とする、請求項9から14に記載の方法。
  16. 前記絶縁スペーサ(11)が、前記凹部(6、66)の壁および底部を熱酸化処理にかけることによって形成され、その後、凹部(6、66)の底部に形成された熱酸化物が、異方性エッチングを用いて再び除去されることを特徴とする、請求項15に記載の方法。
  17. 前記半絶縁層(15)の、前記デバイス(10)の表面と境界を接する部分が、酸化によって誘電体領域(15A)に転換されることを特徴とする、請求項15または16に記載の方法。
JP2007510185A 2004-04-27 2005-04-20 半導体デバイスおよびかかるデバイスを製造する方法 Pending JP2007535162A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP04101769 2004-04-27
EP04102908 2004-06-23
EP04103676 2004-07-30
PCT/IB2005/051293 WO2005104232A1 (en) 2004-04-27 2005-04-20 Semiconductor device and method of manufacturing such a device

Publications (1)

Publication Number Publication Date
JP2007535162A true JP2007535162A (ja) 2007-11-29

Family

ID=34965515

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007510185A Pending JP2007535162A (ja) 2004-04-27 2005-04-20 半導体デバイスおよびかかるデバイスを製造する方法

Country Status (9)

Country Link
US (1) US8084829B2 (ja)
EP (1) EP1743372B1 (ja)
JP (1) JP2007535162A (ja)
KR (1) KR101205115B1 (ja)
CN (1) CN1947250B (ja)
AT (1) ATE475197T1 (ja)
DE (1) DE602005022428D1 (ja)
TW (1) TW200539442A (ja)
WO (1) WO2005104232A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013537715A (ja) * 2010-08-02 2013-10-03 インターナショナル・ビジネス・マシーンズ・コーポレーション 電荷層を軽減した集積回路構造およびこれを形成する方法
CN110326116A (zh) * 2017-02-15 2019-10-11 埃尔费斯有限公司 半导体结构及其制造

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7768092B2 (en) * 2005-07-20 2010-08-03 Cree Sweden Ab Semiconductor device comprising a junction having a plurality of rings
KR101315282B1 (ko) * 2006-04-27 2013-10-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 이를 사용한 전자기기
US7868419B1 (en) * 2007-10-18 2011-01-11 Rf Micro Devices, Inc. Linearity improvements of semiconductor substrate based radio frequency devices
JP2011119512A (ja) * 2009-12-04 2011-06-16 Denso Corp 半導体装置およびその製造方法
KR101905823B1 (ko) 2011-07-27 2018-10-08 엘지이노텍 주식회사 웨이퍼 제조 장치 및 웨이퍼 제조 방법
EP3631855A1 (en) * 2017-05-29 2020-04-08 Teknologian Tutkimuskeskus VTT Oy Semiconductor apparatus
EP3518280B1 (en) * 2018-01-25 2020-11-04 Murata Manufacturing Co., Ltd. Electronic product having embedded porous dielectric and method of manufacture
EP3789745B1 (en) * 2019-09-09 2024-04-10 Yageo Nexensos GmbH Flexible passive electronic component and method for producing the same
FR3116151A1 (fr) * 2020-11-10 2022-05-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’une structure de piegeage d’un substrat utile

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08316420A (ja) * 1995-05-23 1996-11-29 Hitachi Ltd 半導体装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6680489B1 (en) * 1995-12-20 2004-01-20 Advanced Technology Materials, Inc. Amorphous silicon carbide thin film coating
KR100297703B1 (ko) * 1998-02-24 2001-08-07 김덕중 반절연폴리실리콘(sipos)을이용한전력반도체장치및그제조방법
US6356183B1 (en) * 1999-08-17 2002-03-12 United Microelectronics Corp. Method of manufacturing an inductor
US6503838B1 (en) * 1999-12-31 2003-01-07 Texas Instruments Incorporated Integrated circuit isolation of functionally distinct RF circuits
EP1231640A4 (en) * 2000-06-27 2008-10-08 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT
SE520093C2 (sv) 2000-12-13 2003-05-27 Ericsson Telefon Ab L M Skärmad induktor
US6737727B2 (en) * 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
US6593185B1 (en) * 2002-05-17 2003-07-15 United Microelectronics Corp. Method of forming embedded capacitor structure applied to logic integrated circuit
JP4463482B2 (ja) 2002-07-11 2010-05-19 パナソニック株式会社 Misfet及びその製造方法
US7316014B2 (en) 2002-07-12 2008-01-01 Bsquare Corporation Application modification system and method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08316420A (ja) * 1995-05-23 1996-11-29 Hitachi Ltd 半導体装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013537715A (ja) * 2010-08-02 2013-10-03 インターナショナル・ビジネス・マシーンズ・コーポレーション 電荷層を軽減した集積回路構造およびこれを形成する方法
CN110326116A (zh) * 2017-02-15 2019-10-11 埃尔费斯有限公司 半导体结构及其制造

Also Published As

Publication number Publication date
TW200539442A (en) 2005-12-01
US20080173974A1 (en) 2008-07-24
EP1743372B1 (en) 2010-07-21
DE602005022428D1 (de) 2010-09-02
US8084829B2 (en) 2011-12-27
CN1947250A (zh) 2007-04-11
KR101205115B1 (ko) 2012-11-26
EP1743372A1 (en) 2007-01-17
WO2005104232A1 (en) 2005-11-03
ATE475197T1 (de) 2010-08-15
CN1947250B (zh) 2012-09-26
KR20070004089A (ko) 2007-01-05

Similar Documents

Publication Publication Date Title
US8084829B2 (en) Semiconductors device and method of manufacturing such a device
CN109244033B (zh) 具有气隙结构的射频开关
US6444528B1 (en) Selective oxide deposition in the bottom of a trench
TWI692876B (zh) 高電壓電阻器裝置及其形成方法
JP5637632B2 (ja) ボンドパッド下の溝を特徴とするrf装置及び方法
US20100187657A1 (en) Bipolar transistor with base-collector-isolation without dielectric
US8373236B2 (en) Semiconductor device and method of manufacturing such a device
TWI696242B (zh) 用於形成薄的絕緣體上半導體基板的方法
TWI672813B (zh) 用於改善遷移率之具有應力材料的異質接面雙極電晶體結構及其形成方法
US10840145B2 (en) Vertical field-effect transistor devices with non-uniform thickness bottom spacers
CN110957257B (zh) 绝缘体上半导体衬底、其形成方法以及集成电路
US8710621B2 (en) Bipolar transistor with diffused layer between deep trench sidewall and collector diffused layer
US9673081B2 (en) Isolated through silicon via and isolated deep silicon via having total or partial isolation
TW202009986A (zh) 絕緣層上半導體(soi)基底及其形成的方法
US11984477B2 (en) RFSOI semiconductor structures including a nitrogen-doped charge-trapping layer and methods of manufacturing the same
US9337259B2 (en) Structure and method to improve ETSOI MOSFETS with back gate
US9589831B2 (en) Mechanisms for forming radio frequency (RF) area of integrated circuit structure
US11677000B2 (en) IC structure including porous semiconductor layer under trench isolations adjacent source/drain regions
US11532745B2 (en) Integrated circuit structure including asymmetric, recessed source and drain region and method for forming same
US10304692B1 (en) Method of forming field effect transistor (FET) circuits, and forming integrated circuit (IC) chips with the FET circuits
KR20010102310A (ko) 매립된 절연층상에 위치한 실리콘 웨이퍼의 상부층에형성된 반도체 소자를 포함하는 반도체 장치의 제조방법
CN111584630A (zh) 绝缘体上硅pmos器件的制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080418

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20080626

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110617

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110916

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111014