JP2007531268A - 切り欠き制御電極及び当該電極の構造を有する半導体素子の製造方法 - Google Patents

切り欠き制御電極及び当該電極の構造を有する半導体素子の製造方法 Download PDF

Info

Publication number
JP2007531268A
JP2007531268A JP2007504945A JP2007504945A JP2007531268A JP 2007531268 A JP2007531268 A JP 2007531268A JP 2007504945 A JP2007504945 A JP 2007504945A JP 2007504945 A JP2007504945 A JP 2007504945A JP 2007531268 A JP2007531268 A JP 2007531268A
Authority
JP
Japan
Prior art keywords
conductive layer
insulating layer
semiconductor device
patterned
patterned conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007504945A
Other languages
English (en)
Other versions
JP5025462B2 (ja
JP2007531268A5 (ja
Inventor
ケイ. オーロースキー、マリウス
ディ. バーネット、ジェームズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Publication of JP2007531268A publication Critical patent/JP2007531268A/ja
Publication of JP2007531268A5 publication Critical patent/JP2007531268A5/ja
Application granted granted Critical
Publication of JP5025462B2 publication Critical patent/JP5025462B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T

Abstract

半導体素子(10)を形成する方法では、一の表面を有する基板(20)を設け、絶縁層(22)を基板(20)の表面の上に形成し、第1パターニング済み導電層(30)を絶縁層(22)の上に形成し、第2パターニング済み導電層(32)を第1パターニング済み導電層(30)の上に形成し、パターニング済み非絶縁層(34)を第2パターニング済み導電層(32)の上に形成し、そして第1及び第2パターニング済み導電層(30,32)の一部分を選択的に除去して、半導体素子(10)の切り欠き制御電極を形成する。

Description

本発明は概して半導体素子に関し、特に制御電極に関する。
ポリシリコン制御電極をエッチングする場合、ポリシリコン制御電極の下方の隅の部分を除去して制御電極をアンダーカットする、または制御電極に切り欠きを形成する。切り欠きを抑制することができない場合には、アンダーカットの量は未知であり、かつ予測することができないが、切り欠きを制御することができる場合には、切り欠きを設けることが望ましい。制御電極における切り欠きのサイズを予め求めておくことにより、ポリシリコン制御電極のゲート長及びミラー容量を望ましい形で減らすことができる。
素子寸法が小さくなると、N−MOS素子及びP−MOS素子の異なる閾値電圧(V)を制御する必要がある。更に、ゲート誘電体の厚さが小さくなると、ポリシリコン空乏化がポリシリコン制御電極に生じる。ポリシリコンに代わりに金属材料を制御電極として使用することによりポリシリコン空乏化を無くすことができる。更に、N−MOS素子及びP−MOS素子の所望の閾値電圧を設定するための適切な仕事関数レベルを有する金属材料が存在する。従って、この技術分野が金属制御電極の採用に向かうと、ポリシリコン制御電極をアンダーカットする利点が無くなる。従って、金属制御電極のゲート長及びミラー容量を制御性良く減らすことを可能にする必要がある。
一の実施形態では、第1導電層及び第2導電層の一部分を、例えばエッチングによって選択的に除去して切り欠きを形成する。好適には、除去する量は予め決定される。プロセスを使用して切り欠きを制御電極の反対側面に形成することができる。一の実施形態では、これらの切り欠きは、第2導電層を選択的にエッチングし、そして第1導電層を選択的にエッチングすることにより形成することができる。別の実施形態では、第1導電層の一部分は第1導電層の一部分を酸化することにより除去することができる。第2絶縁層はこれらの切り欠きの中に、かつ制御電極の反対側面に形成することができる。更に、サイドウォールスペーサは制御電極の反対側面に、かつ切り欠きの中に位置する第2絶縁層の上に形成することができる。
本発明は例を通して示され、そして添付の図によって制限されるものではなく、これらの図では、同様の参照記号は同様の構成要素を指す。
当業者であれば、これらの図における構成要素が説明を簡単かつ明瞭にするために示され、そして必ずしも寸法通りには描かれていないことが分かるであろう。例えば、これらの図における幾つかの構成要素の寸法を他の構成要素に対して誇張して描いて本発明の実施形態を理解し易くしている。
図1に示すのは、半導体素子10の一部分であり、半導体素子は半導体基板20と、第1絶縁層22と、第1非絶縁層24と、導電層26と、そして第2非絶縁層28と、更に第2絶縁層29と、を有する。これらの非絶縁層は半導体層または導電層とすることができる。半導体基板20は、砒化ガリウム、シリコンゲルマニウム、シリコンオンインシュレータ(SOI)、シリコン、単結晶シリコンなどのようないずれかの半導体材料または材料の組み合わせ、及び上述した材料の組み合わせとすることができる。図示しないが、半導体基板20は不純物ウェル(すなわち、Nウェル及びPウェル)を含む。第1絶縁層22は、高誘電率(high−k:高k)材料(例えば、酸化ハフニウム(HfO)、窒化アルミニウム(AlN)、酸化アルミニウム(Al)、5酸化タンタル(TaO)、チタン酸バリウム(BaTiO)、アルミン酸ランタン(LaAlO)、または酸化ジルコニウム(ZrO)、またはこのような材料の組み合わせ(例えば、酸化ハフニウム及び酸化ジルコニウムの積層体、酸化ハフニウム及び二酸化シリコンの積層体、及び酸化ハフニウム、酸化ジルコニウム、及び二酸化シリコンの積層体)などを含むいずれかの絶縁材料(例えば、二酸化シリコン)とすることができる。第1絶縁層22は化学気相成長法(CVD)、原子層堆積法(ALD)、物理気相成長法(PVD)など、またはこれらの方法の組合せによって堆積させる、または熱成長させることができる。一の実施形態では、第1絶縁層は約4〜5ナノメートル(40〜50オングストローム)の酸化ハフニウムである。
第1非絶縁層24は、形成する半導体素子の閾値電圧を設定するように選択される。所望の閾値電圧はN−MOS半導体素子またはP−MOS半導体素子のいずれが形成されるかによって変わる。N−MOS素子の場合、第1非絶縁層24は、炭化タンタル(TaC)、窒化タンタル(TaN)、ニッケルシリサイド(NiSi)、タンタルシリサイド(TaSi)、コバルトシリサイド(CoSi)、タングステン(W)など、及びこれらの材料の組み合わせとすることができる。P−MOS素子の場合、第1非絶縁層24は、窒化チタン、レニウム(Re)、白金(Pt)、酸化ルテニウム(RuO)、ロジウムシリサイド(RhSi)、パラジウムシリサイド(PdSi)、炭窒化タングステン(WC)など、及びこれらの材料の組み合わせとすることができる。一の実施形態では、第1非絶縁層24は複数の材料の積層体とすることができ、この場合、2つの材料の仕事関数を組み合わせることによって半導体素子10の所望の仕事関数を満たすことができる。例えば、第1の仕事関数を有する5ナノメートル厚さの材料、及び第2の仕事関数を有する5ナノメートル厚さの材料によって第1非絶縁層24を形成して、第1非絶縁層24が第1の仕事関数と第2の仕事関数との間の仕事関数を有するようにすることができる。第1非絶縁層24は、CVD、PVD、ALD、メッキ法など、及びこれらの方法の組合せによって形成することができる。一の実施形態では、第1導電層は約1〜40ナノメートル(10〜400オングストローム)の厚さである。
第1導電層26は不純物ドープシリコンゲルマニウム(SiGe)、不純物ドープシリコン(Si)、不純物ドープ炭化シリコン(SiC)、シリサイド、金属炭化物、金属窒化物など、またはこれらの材料の組み合わせとすることができる。第1導電層26が不純物ドープ層である場合、第1導電層には、例えばin−situドープにより不純物を導入する、またはイオン注入法によるドープにより不純物を導入することができる。第1導電層26は、CVD、PVD、ALD、メッキ法など、及びこれらの方法の組合せによって形成することができる。一の実施形態では、第1導電層26は約25〜50ナノメートル(250〜500オングストローム)の厚さである。このような厚さではあるが、第1導電層26は、以下の更なる説明の後に更に深く理解されるように、切り欠きの形成を可能にするために第1非絶縁層24よりも厚いことが好ましい。
第2非絶縁層28は、半導体材料(例えば、ポリシリコン)、いずれかの導電材料(例えば、タングステン)、またはこれらの材料の組み合わせとすることができる。第2非絶縁層28は、CVD、PVD、ALD、メッキ法など、及びこれらの方法の組合せによって形成することができる。第2非絶縁層28は、第1非絶縁層24よりも厚く、かつ導電層26よりも厚い。一の実施形態では、第2非絶縁層28は約60〜120ナノメートル(600〜1200オングストローム)の厚さである。
(任意に用いられる)第2絶縁層29は第2非絶縁層28の上に形成することができる。第2絶縁層29は、図4に関して以下に議論する再酸化の実施形態を用いる場合に設けることが好ましい。第2絶縁層29は窒化シリコンのようないずれかの絶縁材料とすることができる。第2絶縁層29はPVD、CVD、ALD、メッキ法など、及びこれらの方法の組合せによって形成することができ、かつ約5〜30ナノメートル(50〜300オングストローム)の厚さとすることができる。
第1絶縁層22、第1非絶縁層24、導電層26、第2非絶縁層28、及び第2絶縁層29を形成した後、第1非絶縁層24、導電層26、第2非絶縁層28、及び第2絶縁層29を図2に示すようにパターニングする。一の実施形態では、フォトレジスト層(図示せず)を半導体素子10の上に形成し、そしてパターニングする。フォトレジスト層を使用して第2絶縁層29をパターニングする。第2絶縁層29はハードマスクとしてのみ使用することができる、またはフォトレジスト層と一緒に使用して下地層(すなわち、第1非絶縁層24、導電層26、及び第2非絶縁層28)をパターニングすることができる。フォトレジスト層をこの時点で除去する場合、この技術分野の当業者には公知のアッシングプロセスを使用することができる。パターニングを行なうと、第2絶縁層29はパターニング済み絶縁層35となる。パターニング済み絶縁層35は後続の処理の間の反射防止コーティング(ARC)として機能する。一の実施形態では、エッチングを行なって第1非絶縁層24、導電層26、第2非絶縁層28、及び第2絶縁層29を、従来のエッチング化学反応及びプロセスを使用してパターニングする。パターニングを行なうと、第1非絶縁層24は第1パターニング済み導電層30となり、導電層26は第2パターニング済み導電層32となり、そして第2非絶縁層28はパターニング済み非絶縁層34となる。第1パターニング済み導電層30、第2パターニング済み導電層32、及びパターニング済み非絶縁層34は制御電極(ゲート電極)31の各層を構成する。既に除去されていない場合(すなわち、第1非絶縁層24、次に導電層26または第2非絶縁層28をパターニングするためにマスクとして使用される場合)、フォトレジスト層はアッシングプロセスを使用して除去することができる。第1絶縁層22の内、制御電極31の下に位置する部分はゲート誘電体として機能することになる。
図3に示すように、制御電極31をパターニングした後、第2導電層32の一部分を除去して切り欠き36を形成する。別の表現をすると、第2導電層32に、パターニング済み非絶縁層のような別の層に対して窪みを設ける。一の実施形態では、切り欠き36は、パターニング済み導電層32を第1パターニング済み導電層30及びパターニング済み非絶縁層34に対して選択的にエッチングすることにより形成される。従って、第1パターニング済み導電層30及び第2パターニング済み導電層32は、第2パターニング済み導電層32の一部分に対する選択エッチングを可能にするために同じ材料であってはならない。一の実施形態では、第1パターニング済み導電層30がいずれかの金属または合金であり、第2パターニング済み導電層32が不純物ドープシリコンゲルマニウムであり、そしてパターニング済み非絶縁層34がポリシリコンである場合、切り欠き36を形成するために、40mlのHNO+20mlのH+5mlの0.5%HFを用いるウェットエッチング、または等方性プラズマエッチングを使用することができる。別の実施形態では、第1パターニング済み導電層30がTiNであり、第2パターニング済み導電層32がTaNであり、そしてパターニング済み非絶縁層34がポリシリコンである場合、Air Productsが販売するACT(登録商標)940を使用して切り欠き36を形成することができる、というのは、ACT(登録商標)940は65℃の温度でTiN及びTaNを約1:20の割合でエッチングし、そして65℃の温度でポリシリコン及びTaNを約1:16の割合でエッチングする。
一の実施形態では、切り欠き36を形成した後、図4に示すように、絶縁層40が制御電極31の露出壁に沿って形成される。パターニング済み絶縁層35に使用する材料には通常、絶縁層40を形成するためにここで使用する条件に当該材料を置いても酸化膜が形成されることがない。従って、酸化膜がパターニング済み絶縁層の上に形成されることはないが、異なる材料を使用すると、酸化膜が形成される可能性がある。パターニング済み絶縁層35が設けられていない場合には、絶縁層40がパターニング済み非絶縁層34の上面に形成される。しかしながら、絶縁材料がパターニング済み非絶縁層34の上面を覆うように形成されることがないようにして、後続のプロセスの間に制御電極31とのコンタクトを可能にすることが望ましい。従って、パターニング済み非絶縁層34の上面に絶縁材料が形成される場合、絶縁材料を除去する必要がある。しかしながら、この処理を行なうのは難しい。従って、パターニング済み絶縁層35を設けるのが好ましい。
絶縁層40は、再酸化プロセスによって形成することができ、このプロセスでは、半導体素子10が750〜850℃の範囲の温度の酸素雰囲気に曝される。酸素雰囲気はドライ酸化雰囲気とすることができる。一の実施形態では、このプロセスは高速熱酸化(RTO)プロセスとすることができる。絶縁層40は、制御電極31の側面を酸化することにより形成される。その結果、絶縁層40は異なる絶縁材料を含む。例えば、パターニング済み非絶縁層34が酸化されて第1酸化物材料が当該非絶縁層の露出壁に沿って形成され、第2パターニング済み導電層32には第2酸化物材料が、側壁である当該導電層の露出壁に沿って形成され、そして第1パターニング済み導電層30には第3酸化物材料が当該導電層の露出側壁に沿って形成される。当業者であれば理解できるように、異なる酸化物の間には或る領域が形成される可能性があり、当該領域は、これらの酸化物の間の遷移領域であり、当該領域には一つの酸化物から別の酸化物への遷移が生じることを意味する。第2パターニング済み導電層32及び第1パターニング済み導電層30の一部分が酸化物の形成のために使用される(図示せず)が、これらの層の内のほんの少しだけが酸化物に変換されるだけである。しかしながら、第1パターニング済み導電層30の内、切り欠き36によって露出する部分は、これらの露出部分が薄く、かつ切り欠き36によって露出するので、再酸化プロセスの間に絶縁物に変換され、そして酸化物領域41を形成することになる。酸化物領域41は切り欠き36の内部に位置する。従って、酸化物領域41を形成することにより、第1パターニング済み導電層30は、第2パターニング済み導電層32の長さにほぼ等しい長さ(横方向寸法)を有するようになる。更に、パターニング済み非絶縁層34は、第1パターニング済み導電層30よりも長く、かつ第2パターニング済み導電層32よりも長い長さを有するようになる。
別の実施形態では、第1パターニング済み導電層30を図5に示すように後退させて切り欠き45を形成することにより、第2パターニング済み導電層32の長さを第1パターニング済み導電層30の長さにほぼ等しくする。この実施形態では、パターニング済み非絶縁層34も、第1パターニング済み導電層30よりも長く、かつ第2パターニング済み導電層32よりも長い長さを有する。或る実施形態では、第1導電層30の露出横方向エッジを選択的にエッチングすることができる。一の実施形態では、後退させる処理は、ソフトエッチプロセスにより行なう。ソフトエッチプロセスは一の実施形態では、第1絶縁層22、及び制御電極31の他の層がエッチングされないように第1絶縁層22及び他の層に対する選択性を示すドライエッチングである。一の実施形態では、ソフトエッチは希釈ガスを使用するエッチングプロセスである。第1絶縁層22が二酸化シリコンであり、かつ第1パターニング済み導電層30がTaSiNである一の実施形態では、HBr/Cl/O/CFを、RFバイアスパワーが25ワット未満のプラズマエッチングに使用することができる。第1絶縁層22が酸化ハフニウムであり、かつ第1パターニング済み導電層30がTaSiN,TaC,TaN、またはこれらの材料の組み合わせである別の実施形態では、約100sccmのClを、約4mTorrの圧力、約1000ワットのソースパワー、及び約60ワットのバイアスパワーのプラズマエッチングに使用することができる。別の実施形態では、AR/Cl,SF,BlC,またはClを含むプラズマを使用して、酸化ハフニウムがエッチングされないように酸化ハフニウムに対して選択性を示すエッチングをTaCに対して行なうことができる。ここに記載するこれ以後の処理は、図4に示す構造から続く。しかしながら、同じ処理を図5の構造に対して適用することができる。
第1パターニング済み導電層30を後退させた後、第1パターニング済み導電層は最初に形成される構造よりも短い長さを有し、これによって、形成される半導体素子のチャネル長が短くなり、かつミラー容量が小さくなる。更に、制御電極31は、制御可能なプロセスによって形成される切り欠きを有する。切り欠きを設けることによって、一の実施形態では或る角度で行なわれるハロイオン注入(halo implantation)が容易になる。ハロイオン注入を斜めの角度で行なうために、切り欠きは、原子を斜めの角度から第1絶縁層22のみを通して行なうことができるように機能する。別の表現をすると、切り欠きを設けない場合には、ハロイオン注入領域を切り欠きを設けた場合に形成する場合のようにパターニング済み非絶縁層34の下方から横方向にずっと離れた位置に形成する、ということができなくなる、というのは、切り欠きに元々存在していた材料がイオン注入を阻止するからである。従って、結果として得られるチャネルが長くなってしまう。
図6に示すように、切り欠き43及び酸化膜領域40を形成した後、ソースハロ(source halo:haloイオン注入により形成されるソース)46、ドレインハロ(drain halo:haloイオン注入により形成されるドレイン)48、ソースエクステンション42、及びドレインエクステンション44が半導体基板20の内部に形成される。一の実施形態では、ソースハロ46及びドレインハロ48は斜めイオン注入により形成され、斜めイオン注入では、注入角度は半導体基板20の法線に対して約20〜40度とすることができる。ドープ濃度は、n型ドーパント(例えば、リン)またはp型ドーパント(例えば、ボロン)のいずれかを1立方センチメートル当たり約5E17〜8E18原子の範囲で含む濃度とすることができる。ソース/ドレインハロ46,48のドープタイプは、ソース/ドレインエクステンション42,44、及びディープソース/ドレイン領域52,54とは逆である。イオン注入が斜めから行なわれるので、ソースハロ46及びドレインハロ48の一部分は制御電極31の一部分の下方に位置する。
ソースエクステンション42及びドレインエクステンション44は、イオン注入を半導体基板20の表面の法線に対して0度の角度で、または或る角度から行なうことにより形成することができる。ソースエクステンション42及びドレインエクステンション44に使用されるドーパントは、ソースハロ46及びドレインハロ48に使用されるドーパントと同じとすることができるが、ソースエクステンション42及びドレインエクステンション44を形成するためのドープ濃度、従ってドーズ量には、ソースハロ46及びドレインハロ48を形成するためのものよりも高いドーズ量を使用することができる。一の実施形態では、ドープ濃度は、n型ドーパント(例えば、リン)またはp型ドーパント(例えば、ボロン)のいずれかを1立方センチメートル当たり約1E19〜5E20原子の範囲で含む濃度とすることができる。
ソースハロ46、ドレインハロ48、ソースエクステンション42、及びドレインエクステンション44を形成した後、スペーサ50を制御電極の側面に沿って形成し、そしてディープソース52及びディープドレイン54を半導体基板20に形成する。スペーサ50は切り欠きを埋めてしまうことが好ましい。スペーサ50は、窒化シリコン(Si)のような絶縁層を、半導体素子10を覆うように堆積させ、そして絶縁層を従来の化学反応を利用して異方性エッチングすることにより形成することができる。別の方法として、スペーサの他の実施形態及び構造を使用することができる。
ディープソース52及びディープドレイン54はスペーサ50及び制御電極31をマスクとして使用して形成される。ソースハロ46、ドレインハロ48、ソースエクステンション42、及びドレインエクステンション44を形成するために使用した同じドーパントを使用することができるが、ドーパント濃度はエクステンション42,44を形成するめに使用される濃度よりも高く、かつハロ46,48を形成するめに使用される濃度よりも高い。一の実施形態では、ドープ濃度は、n型ドーパント(例えば、リン)またはp型ドーパント(例えば、ボロン)のいずれかを1立方センチメートル当たり約5E19〜1E21原子の範囲で含む濃度とすることができる。ソースエクステンション42及びディープソース52は、半導体素子10のソース領域(電流電極)を構成し、そしてドレインエクステンション44及びディープドレイン54は、半導体素子10のドレイン領域(電流電極)を構成する。
以上により、ハロイオン注入領域を有利な形で配置することによって短チャネル効果を更に生じ難くしながら、ミラー容量を小さくする方法が提示されてきたことが理解できるであろう。更に、半導体素子10のチャネル長は複数層に関するエッチングプロセスのみを実行することにより得られるチャネル長よりも短いので、使用するリソグラフィプロセスでは、結果として得られるチャネル長をそのまま反映したパターンの形成を可能にする必要はない。別の表現をすると、結果として得られるチャネル長は、リソグラフィプロセスによって形成することができるパターンよりも短くすることができるので、古い世代のリソグラフィプロセスを、更に小さい構造の素子に適用する機会を増やすことができる。
これまでの明細書においては、本発明について特定の実施形態を参照しながら記載してきた。しかしながら、この技術分野の当業者であれば、種々の変形及び変更を、以下の請求項に示される本発明の技術範囲から逸脱しない範囲において加え得ることが理解できる。例えば、ソース領域及びドレイン領域は入れ替えることができる、または対称にならないように構成することができる。従って、本明細書及び図は、本発明を制限するのではなく例示として捉えられるべきであり、従ってこのような変更の全ては本発明の技術範囲に含まれるべきものである。
効果、他の利点、及び技術的問題に対する解決法について、特定の実施形態に関して上に記載してきた。しかしながら、効果、利点、及び問題解決法、及び効果、利点、または問題解決法をもたらし、またはさらに顕著にし得るいずれの要素(群)も、いずれかの請求項または全ての請求項の必須の、必要な、または基本的な特徴または要素であると解釈されるべきではない。本明細書で使用されるように、「comprises」、「comprising」という用語、または他の全てのこれらの変形は包括的な意味で適用されるものであり、一連の要素を備えるプロセス、方法、製品、または装置がこれらの要素のみを含むのではなく、明らかには列挙されていない、またはそのようなプロセス、方法、製品、または装置に固有の他の要素も含むことができる。本明細書で使用されるように、「a」または「an」という用語は「one(一つ)」、または「more than one(一つよりも多い)」、として定義される。更に、記述及び請求項の「front」、「back」、「top」、「bottom」、「over」、「under」などの用語は、使用される場合には、表現上の目的で使用され、必ずしも恒久的な相対位置を表わすために使用されるのではない。このように使用される用語は適切な条件の下では入れ替え可能であるので、本明細書に記載する本発明の実施形態は、例えば、本明細書に例示する、または記載する配置を除く他の配置で動作することができることを理解されたい。更に、本明細書において使用するように、「layer(層)」という単語は1つの層に制限されるのではなく、特に断らない限り、材料群から成る積層(2つ以上の、という意味)構造を含むことができる。
本発明の或る実施形態による、半導体基板の上に形成される複数の層を有する半導体素子の一部分の断面図。 本発明の或る実施形態による、複数の層をパターニングした後の図1の半導体素子を示す断面図。 本発明の或る実施形態による、第1層に切り欠きを形成した後の図2の半導体素子を示す断面図。 本発明の或る実施形態による、絶縁層を形成した後の図3の半導体素子を示す断面図。 本発明の別の実施形態による、第2層に切り欠きを形成した後の図3の半導体素子を示す断面図。 本発明の或る実施形態による、ソース/ドレインエクステンション及びソース/ドレインハロを形成した後の図4の半導体素子を示す断面図。 本発明の或る実施形態による、サイドウォールスペーサ及びソース/ドレイン領域を形成した後の図6の半導体素子を示す断面図。

Claims (29)

  1. 基板と、
    前記基板の上に形成される絶縁層と、
    絶縁層の上に形成される制御電極と、を備え、制御電極は、
    絶縁層の上に形成され、かつ第1横方向寸法を有する第1導電層と、
    第1導電層の上に形成され、かつ第2横方向寸法を有する第2導電層と、
    第2導電層の上に形成され、かつ第3横方向寸法を有する非絶縁層とを備え、
    第3横方向寸法は第1横方向寸法よりも大きく、かつ第2横方向寸法よりも大きい、半導体素子。
  2. 基板に形成されるソース/ドレイン領域をさらに備える、請求項1記載の半導体素子。
  3. 基板の中にハロイオン注入領域をさらに備える、請求項1記載の半導体素子。
  4. 非絶縁層はポリシリコンを含む、請求項1記載の半導体素子。
  5. 第1導電層は、炭化タンタル、窒化タンタル、ニッケルシリサイド、タンタルシリサイド、コバルトシリサイド、またはタングステンの内の一つからなる、請求項1記載の半導体素子。
  6. 第1導電層は、窒化チタン、レニウム、白金、酸化ルテニウム、ロジウムシリサイド、パラジウムシリサイド、または炭窒化タングステンの内の一つからなる、請求項1記載の半導体素子。
  7. 第1導電層は1〜40ナノメートルの間の厚さである、請求項1記載の半導体素子。
  8. 第2導電層はシリコンゲルマニウムを含む、請求項1記載の半導体素子。
  9. 第2導電層は、不純物ドープシリコンゲルマニウム、不純物ドープシリコン、不純物ドープ炭化シリコン、シリサイド、金属炭化物、または金属窒化物の内の一つからなる、請求項1記載の半導体素子。
  10. 絶縁層は、酸化ハフニウム、窒化アルミニウム、酸化アルミニウム、5酸化タンタル、チタン酸バリウム、アルミン酸ランタン、または酸化ジルコニウムの内の一つからなる、請求項1記載の半導体素子。
  11. 第3横方向寸法は、第1及び第2導電層の一部分を選択的に除去して切り欠きを制御電極の反対側面に形成することにより、第1横方向寸法及び第2横方向寸法よりも大きくなる、請求項1記載の半導体素子。
  12. 前記切り欠きは、第2導電層を選択的にエッチングし、及び第1導電層を選択的にエッチングすることにより形成される、請求項11記載の半導体素子。
  13. 第1導電層の一部分は、第1導電層の一部分を酸化することにより除去される、請求項11記載の半導体素子。
  14. 第2絶縁層が制御電極の切り欠きの中に、かつ反対側面に形成される、請求項11記載の半導体素子。
  15. 制御電極の反対側面に、かつ切り欠きの中に位置する第2絶縁層の上に形成されるサイドウォールスペーサをさらに備える、請求項13記載の半導体素子。
  16. 一の表面を有する基板を設ける工程と、
    絶縁層を基板の前記表面の上に形成する工程と、
    第1パターニング済み導電層を絶縁層の上に形成する工程と、
    第2パターニング済み導電層を第1パターニング済み導電層の上に形成する工程と、
    パターニング済み非絶縁層を第2パターニング済み導電層の上に形成する工程と、
    第1及び第2パターニング済み導電層の一部分を選択的に除去して、半導体素子の切り欠き制御電極を形成する工程とを備える、半導体装置の製造方法。
  17. ソース/ドレイン領域を基板に形成する工程をさらに備える、請求項16記載の方法。
  18. ハロイオン注入領域を基板に形成する工程をさらに備える、請求項16記載の方法。
  19. パターニング済み非絶縁層を形成する工程では、ポリシリコンから成るパターニング済み非絶縁層を形成する、請求項16記載の方法。
  20. 第1パターニング済み導電層は、炭化タンタル、窒化タンタル、ニッケルシリサイド、タンタルシリサイド、コバルトシリサイド、またはタングステンの内の一つからなる、請求項16記載の方法。
  21. 第1パターニング済み導電層は、窒化チタン、レニウム、白金、酸化ルテニウム、ロジウムシリサイド、パラジウムシリサイド、または炭窒化タングステンの内の一つからなる、請求項16記載の方法。
  22. 第1パターニング済み導電層は1〜40ナノメートルの間の厚さに形成される、請求項16記載の方法。
  23. 第2パターニング済み導電層はシリコンゲルマニウムからなる、請求項16記載の方法。
  24. 第2パターニング済み導電層は、不純物ドープシリコンゲルマニウム、不純物ドープシリコン、不純物ドープ炭化シリコン、シリサイド、金属炭化物、または金属窒化物の内の一つからなる、請求項16記載の方法。
  25. 絶縁層は、酸化ハフニウム、窒化アルミニウム、酸化アルミニウム、5酸化タンタル、チタン酸バリウム、アルミン酸ランタン、または酸化ジルコニウムの内の一つ、或いはこれらの材料の組み合わせからなる、請求項16記載の方法。
  26. 第1及び第2パターニング済み導電層の一部分を選択的に除去する工程は、更に、
    第2パターニング済み導電層の露出横方向エッジの所定部分を選択的にエッチングする工程と、
    第1パターニング済み導電層の露出部分を酸化する工程とを備える、請求項16記載の方法。
  27. 第1及び第2パターニング済み導電層の一部分を選択的に除去する工程は更に、
    第2パターニング済み導電層の露出横方向エッジの所定部分を選択的にエッチングする工程と、
    第1パターニング済み導電層の露出部分を、ソフトエッチ半導体形成プロセスを使用して選択的にエッチングする工程とを備える、請求項16記載の方法。
  28. 更に、第2絶縁層を、切り欠き制御電極の切り欠きの中に、かつ反対側面に形成する、請求項16記載の方法。
  29. 更に、サイドウォールスペーサを、切り欠き制御電極の反対側面に、かつ切り欠きの中に位置する第2絶縁層の上に形成する、請求項16記載の方法。
JP2007504945A 2004-03-26 2005-01-21 切り欠きゲート電極を有する半導体素子の製造方法 Expired - Fee Related JP5025462B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/811,461 US7105430B2 (en) 2004-03-26 2004-03-26 Method for forming a semiconductor device having a notched control electrode and structure thereof
US10/811,461 2004-03-26
PCT/US2005/002133 WO2005104225A2 (en) 2004-03-26 2005-01-21 Method for forming a semiconductor device having a notched control electrode and structure thereof

Publications (3)

Publication Number Publication Date
JP2007531268A true JP2007531268A (ja) 2007-11-01
JP2007531268A5 JP2007531268A5 (ja) 2008-03-06
JP5025462B2 JP5025462B2 (ja) 2012-09-12

Family

ID=34990536

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007504945A Expired - Fee Related JP5025462B2 (ja) 2004-03-26 2005-01-21 切り欠きゲート電極を有する半導体素子の製造方法

Country Status (5)

Country Link
US (1) US7105430B2 (ja)
EP (1) EP1728274A4 (ja)
JP (1) JP5025462B2 (ja)
CN (1) CN100536094C (ja)
WO (1) WO2005104225A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009060104A (ja) * 2007-08-31 2009-03-19 Samsung Electronics Co Ltd ピン電界効果トランジスタ及びその製造方法
JP2010027638A (ja) * 2008-07-15 2010-02-04 Sumitomo Electric Ind Ltd 半導体装置の製造方法および半導体装置
WO2014119596A1 (ja) * 2013-02-01 2014-08-07 ピーエスフォー ルクスコ エスエイアールエル 半導体装置およびその製造方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1320629C (zh) * 2004-06-28 2007-06-06 中芯国际集成电路制造(上海)有限公司 集成电路器件形成隔离物后修复等离子体损伤的方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US8053849B2 (en) * 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
EP1906461B1 (de) * 2006-09-26 2020-03-18 OSRAM Opto Semiconductors GmbH Verfahren zur Herstellung eines optoelektronischen Bauelements und optoelektronisches Bauelement
WO2010023608A2 (en) * 2008-08-25 2010-03-04 Nxp B.V. Low cost mos transistor for rf applications
US9128699B2 (en) * 2008-12-22 2015-09-08 Intel Corporation Method and system for queuing transfers of multiple non-contiguous address ranges with a single command
DE102010042229B4 (de) * 2010-10-08 2012-10-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Steigern der Integrität eines Gatestapels mit großem ε durch Erzeugen einer gesteuerten Unterhöhlung auf der Grundlage einer Nasschemie und mit den Verfahren hergestellter Transistor
US9166004B2 (en) 2010-12-23 2015-10-20 Intel Corporation Semiconductor device contacts
US8383469B2 (en) * 2011-01-07 2013-02-26 Eastman Kodak Company Producing transistor including reduced channel length
US8314022B1 (en) * 2011-05-20 2012-11-20 Intermolecular, Inc. Method for etching gate stack
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08102534A (ja) * 1994-09-30 1996-04-16 Toshiba Corp 半導体装置の製造方法
JPH1050986A (ja) * 1996-06-07 1998-02-20 Samsung Electron Co Ltd 半導体装置のmosトランジスター及びその製造方法
JP2003179227A (ja) * 2001-10-04 2003-06-27 Fujitsu Ltd 半導体装置及びその製造方法
US6596598B1 (en) * 2000-02-23 2003-07-22 Advanced Micro Devices, Inc. T-shaped gate device and method for making
JP2004514290A (ja) * 2000-11-15 2004-05-13 インターナショナル・ビジネス・マシーンズ・コーポレーション ノッチ・ゲート付きfetおよびその製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5543646A (en) * 1988-09-08 1996-08-06 Mitsubishi Denki Kabushiki Kaisha Field effect transistor with a shaped gate electrode
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
CN1216427C (zh) 1998-12-07 2005-08-24 英特尔公司 带凹槽栅极的晶体管
US6646236B1 (en) * 1999-01-25 2003-11-11 Ibiden Co., Ltd. Hot plate unit
US6399469B1 (en) * 2000-07-10 2002-06-04 Advanced Micro Devices, Inc. Fabrication of a notched gate structure for a field effect transistor using a single patterning and etch process
JP4447128B2 (ja) * 2000-07-12 2010-04-07 富士通マイクロエレクトロニクス株式会社 絶縁ゲート型半導体装置の製造方法
US6403456B1 (en) * 2000-08-22 2002-06-11 Advanced Micro Devices, Inc. T or T/Y gate formation using trim etch processing
US6440830B1 (en) * 2000-08-30 2002-08-27 Advanced Micro Devices, Inc. Method of copper-polysilicon gate formation
US6645840B2 (en) * 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6646326B1 (en) 2000-11-15 2003-11-11 Advanced Micro Devices, Inc. Method and system for providing source/drain-gate spatial overlap engineering for low-power devices
US7199011B2 (en) * 2003-07-16 2007-04-03 Texas Instruments Incorporated Method to reduce transistor gate to source/drain overlap capacitance by incorporation of carbon

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08102534A (ja) * 1994-09-30 1996-04-16 Toshiba Corp 半導体装置の製造方法
JPH1050986A (ja) * 1996-06-07 1998-02-20 Samsung Electron Co Ltd 半導体装置のmosトランジスター及びその製造方法
US6596598B1 (en) * 2000-02-23 2003-07-22 Advanced Micro Devices, Inc. T-shaped gate device and method for making
JP2004514290A (ja) * 2000-11-15 2004-05-13 インターナショナル・ビジネス・マシーンズ・コーポレーション ノッチ・ゲート付きfetおよびその製造方法
JP2003179227A (ja) * 2001-10-04 2003-06-27 Fujitsu Ltd 半導体装置及びその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009060104A (ja) * 2007-08-31 2009-03-19 Samsung Electronics Co Ltd ピン電界効果トランジスタ及びその製造方法
JP2010027638A (ja) * 2008-07-15 2010-02-04 Sumitomo Electric Ind Ltd 半導体装置の製造方法および半導体装置
WO2014119596A1 (ja) * 2013-02-01 2014-08-07 ピーエスフォー ルクスコ エスエイアールエル 半導体装置およびその製造方法

Also Published As

Publication number Publication date
WO2005104225A2 (en) 2005-11-03
CN101091239A (zh) 2007-12-19
WO2005104225A3 (en) 2006-06-08
US20050215008A1 (en) 2005-09-29
JP5025462B2 (ja) 2012-09-12
CN100536094C (zh) 2009-09-02
EP1728274A2 (en) 2006-12-06
US7105430B2 (en) 2006-09-12
EP1728274A4 (en) 2008-11-05

Similar Documents

Publication Publication Date Title
JP5025462B2 (ja) 切り欠きゲート電極を有する半導体素子の製造方法
KR101770476B1 (ko) 반도체 컴포넌트와 FinFET 디바이스의 제조 방법
US8173506B2 (en) Method of forming buried gate electrode utilizing formation of conformal gate oxide and gate electrode layers
JP2007208260A (ja) 二重仕事関数金属ゲートスタックを備えるcmos半導体装置
TWI545754B (zh) 鰭型場效電晶體元件及其製造方法
TW201541525A (zh) 鰭型場效電晶體元件及其製造方法
KR102365321B1 (ko) 다중 게이트 디바이스들을 위한 게이트 패턴화 공정
CN109326562A (zh) 金属栅极结构、半导体器件及其制造方法
US7579282B2 (en) Method for removing metal foot during high-k dielectric/metal gate etching
TWI804632B (zh) 半導體元件及其製作方法
JP2007288096A (ja) 半導体装置及びその製造方法
US10832956B2 (en) Method and structure for forming transistors with high aspect ratio gate without patterning collapse
US11817503B2 (en) Semiconductor device
US7755145B2 (en) Semiconductor device and manufacturing method thereof
JP2009277961A (ja) Cmisトランジスタの製造方法
CN114823868A (zh) 半导体器件及其形成方法
JP2007287793A (ja) 半導体装置の製造方法
KR101140001B1 (ko) 노칭된 제어 전극을 구비한 반도체 디바이스를 형성하는방법 및 그에 의한 구조
JP2013055274A (ja) 半導体装置及びその製造方法
CN110957364A (zh) 半导体装置
JP2008130798A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080121

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111006

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120514

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120522

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120619

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150629

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5025462

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees