JP2007503126A - 微細形状ワークピース上の材料のバッチ堆積のための微細形状ワークピース処理装置及び方法 - Google Patents
微細形状ワークピース上の材料のバッチ堆積のための微細形状ワークピース処理装置及び方法 Download PDFInfo
- Publication number
- JP2007503126A JP2007503126A JP2006524064A JP2006524064A JP2007503126A JP 2007503126 A JP2007503126 A JP 2007503126A JP 2006524064 A JP2006524064 A JP 2006524064A JP 2006524064 A JP2006524064 A JP 2006524064A JP 2007503126 A JP2007503126 A JP 2007503126A
- Authority
- JP
- Japan
- Prior art keywords
- micro
- gas
- workpiece
- shaped
- shaped workpiece
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 178
- 238000012545 processing Methods 0.000 title claims abstract description 113
- 239000000463 material Substances 0.000 title claims abstract description 22
- 230000008021 deposition Effects 0.000 title description 7
- 238000000151 deposition Methods 0.000 claims abstract description 13
- 239000002243 precursor Substances 0.000 claims description 48
- 238000010926 purge Methods 0.000 claims description 33
- 239000012530 fluid Substances 0.000 claims description 12
- 238000004891 communication Methods 0.000 claims description 10
- 238000002347 injection Methods 0.000 claims 4
- 239000007924 injection Substances 0.000 claims 4
- 238000012993 chemical processing Methods 0.000 claims 1
- 230000008878 coupling Effects 0.000 claims 1
- 238000010168 coupling process Methods 0.000 claims 1
- 238000005859 coupling reaction Methods 0.000 claims 1
- 238000007789 sealing Methods 0.000 claims 1
- 238000000231 atomic layer deposition Methods 0.000 abstract description 29
- 239000004065 semiconductor Substances 0.000 abstract description 6
- 238000004377 microelectronic Methods 0.000 abstract description 3
- 239000007789 gas Substances 0.000 description 260
- 235000012431 wafers Nutrition 0.000 description 14
- 239000010410 layer Substances 0.000 description 13
- 238000005229 chemical vapour deposition Methods 0.000 description 12
- 239000007787 solid Substances 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 6
- 238000010586 diagram Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 230000000717 retained effect Effects 0.000 description 4
- 238000007736 thin film deposition technique Methods 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 239000010408 film Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 239000000758 substrate Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 239000012713 reactive precursor Substances 0.000 description 2
- 230000001105 regulatory effect Effects 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- 238000010923 batch production Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000004375 physisorption Methods 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45546—Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45578—Elongated nozzles, tubes with holes
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S206/00—Special receptacle or package
- Y10S206/832—Semiconductor wafer boat
Landscapes
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
- Inorganic Insulating Materials (AREA)
Abstract
本発明の開示は、例えば原子層堆積を使用して超小型電子半導体上に材料を堆積させることによって、微細形状ワークピースを処理するための装置及び方法を説明する。これらの装置の幾つかは、ガス分配器を有する微細形状ワークピースホルダーを含む。1つの例示的な実施は、複数の微細形状ワークピースを保持するように適合されている微細形状ワークピースホルダーを提供する。このワークピースホルダーは、複数のワークピース支持体とガス分配器とを含む。ワークピース支持体は、離間した関係で複数の微細形状ワークピースを支持して各微細形状ワークピースの表面に隣接した処理スペースを定めるように適合されている。ガス分配器は、1つの注入口と複数の排出口とを含み、排出口の各々は、処理スペースの1つにプロセスガスの流れを向けるよう位置付けられる。
【選択図】図15
【選択図】図15
Description
本発明は、例えば半導体ウェーハなどの微細形状ワークピースを処理するための装置及び方法に関する。本発明の態様は、原子層堆積又は化学気相蒸着などによる微細形状ワークピース上の材料のバッチ堆積に関して特に有用である。
薄膜蒸着技術は、表面トポロジーに厳密に一致するコーティングをワークピース上に形成する微細形状部品の製造において広く使用されている。超小型電子構成部品の関連において、例えば、ウェーハ上のデバイスにおける個々の構成部品のサイズは常に小さくなり、デバイスの層の数は増え続けている。従って、構成部品の密度及び陥凹部のアスペクト比(例えば、開口部のサイズに対する深さの比)が増大している。このようなウェーハのサイズはまた、多くのスペースを提供して単一のウェーハ上により多くのダイ(すなわちチップ)を形成するために増々大きくなっている。多くの製造業者が、現在200mmから300mmワークピースに移行しつつあり、将来は更に大きなワークピースが使用されることになると思われる。薄膜蒸着技術はこれに伴い、極小開口部を有する深い陥凹部の側壁、底部、及び角部を覆う極めて均一な共形の層を生成するよう努めている。
1つの広く使用されている薄膜蒸着技術は、化学気相蒸着(CVD)である。CVDシステムでは、固体薄膜を形成するよう反応することができる1つ又はそれ以上の前駆体を気体又は蒸気状態で混合し、次いで、この前駆体混合物がワークピース表面に与えられる。ワークピースの表面は、前駆体間の反応に触媒作用を及ぼし、ワークピース表面に固体薄膜を形成する。ワークピースの表面の反応に触媒作用を及ぼす一般的な方法は、反応を生じる温度までワークピースを加熱することである。
CVD技術は多くの応用で有用であるが、これらはまた幾つかの欠点もある。例えば、前駆体の反応性が高い場合、適度な蒸着率を達成するためには、ワークピースの温度が高いことが必要とされる。ワークピースの加熱は、該ワークピース上に既に形成されている構造及び他の材料に対し悪影響を及ぼす可能性があるので、このような高温は一般に望ましくない。例えば、注入又はドープ材料は、高温でシリコンワークピース内に移動することができる。他方、より強く反応する前駆体を用いてワークピース温度を下げることができるようになる場合には、ワークピースの目標とする表面に達する前に気相状態で早期に反応が生じる可能性がある。これは、膜品質及び均一性が低下する可能性があり、更に使用できる前駆体のタイプが限定されるので望ましくない。
原子層堆積(ALD)は、別の薄膜蒸着技術である。図1A及び1Bは、ALDプロセスの基本動作を概略的に示している。図1Aを参照すると、気体分子Aの層が、ワークピースWの表面をコーティングする。A分子の層は、ワークピースWをA分子を含む前駆体ガスに曝し、次いでパージガスでチャンバをパージして余分なA分子を除去することによって形成される。このプロセスは、表面のA分子が中程度の温度での物理吸着力又は高温での化学吸着力によってパージサイクル中に所定位置に保持されるので、ワークピースWの表面上のA分子の単分子層を形成できる。次に、A分子の層は、B分子を含む別の前駆体ガスに曝される。A分子は、B分子と反応して、ワークピースW上に固体材料Cの超薄層を形成する。次いで、チャンバが再度パージガスでパージされ、余分なB分子が除去される。
図2は、ALD技術を使用して薄い固体層を形成するための1サイクルのステージを示している。典型的なサイクルは、(a)ワークピースを第1前駆体Aに曝す段階、(b)余分なA分子をパージする段階、(c)ワークピースを第2前駆体Bに曝す段階、及び(d)余分なB分子をパージする段階を含む。パージプロセスは通常、いずれの前駆体ともほとんど反応しないパージガスを導入する段階と、ポンピングステップで反応チャンバからパージガス及び余分な前駆体を排出する段階とを含む。実際の処理では、幾つかのサイクルが繰り返され、所要の厚みを有する薄膜をワークピース上に堆積する。例えば、各サイクルでは約0.5−1.0Åの厚さの単層を形成することができ、従って、約60Åの厚さの固体層を形成するためには約60−120サイクルを必要とする。
ALD処理の1つの欠点は、CVD技術に比べてスループットが相対的に低いことである。例えば、ALD処理は通常、各A−パージ−B−パージサイクルを実行するのに数秒を必要とする。これは、わずか60Åの単一の薄層を形成するのに数分の合計プロセス時間を結果として生じる。ALD処理とは異なり、CVD技術は、60Å厚の層を形成するのに約1分必要とするだけである。シングルウェーハプロセスチャンバでは、ALDプロセスは、対応するシングルウェーハCVDプロセスよりも500%−2000%長くなる可能性がある。既存のシングルウェーハALD技術のスループットが低いことで、ALDが全体的な製造プロセスのボトルネックとなる可能性があることから、現状では技術の有用性が制限される。
ALD処理のスループットを向上させる1つの有望な解決策は、複数のウェーハ(例えば20−250)をバッチプロセスで同時に処理することである。図3は、ガス供給源30と真空装置40とに結合された処理容器20を有する従来のバッチALD反応器10を概略的に示している。処理容器20は一般に、外壁22及び環状ライナー24を含む。プラットフォーム60は、プロセスチャンバ25を定めるためにシール62を介して容器20の外壁又はある他の部分に対して密閉している。ガスは、ガス供給源30から、プロセスチャンバ25のメインチャンバ28にガスを導入するガスノズル32によってプロセスチャンバ25に導入される。ガスノズル32によって導入されたガスは、真空装置40の作用によりメインチャンバ28を通って外方に流れて、環状の排出路26に流れ込み、真空装置40で引き抜かれることになる。複数のワークピースW、例えば半導体ウェーハは、ワークピースホルダー70で処理容器内に保持することができる。作動中、ヒータ50がワークピースWを要求温度まで加熱し、ガス供給源30が、図2に関して上述したように第1前駆体A、パージガス、及び第2前駆体Bを送出する。
しかしながら、図3に示されるようにALD反応器10で多数のワークピースに対し材料を同時に堆積させる場合、ワークピースWの各々の表面全体にわたり前駆体A及びBを均一に堆積させるのは困難な場合がある。また、ワークピースW間のスペースから余分な前駆体を除去することも問題となる可能性がある。図3に示されたようなALD反応器では、ワークピースの1つの表面上で化学吸着されなかった残留前駆体を除去する主な方法は拡散である。これは、反応器10のスループットを有意に低下させる比較的遅いプロセスであるばかりでなく、残留前駆体を十分に除去することができない場合がある。従って、従来のバッチALD反応器は、スループットが低く、不均一な薄膜を形成する場合がある。
A.概要
本発明の種々の実施形態は、微細形状ワークピースホルダー、プロセスチャンバを含むシステム、及び微細形状ワークピースに材料を堆積させる方法を提供する。本発明の多くの特定の詳細は、微細形状ワークピースに材料を堆積させるための反応器に関して以下に説明する。用語「微細形状ワークピース」は、超小型電子デバイス、超小型機械デバイス、データ記憶素子、読み取り/書き込み構成部品、及び他のデバイスがその上及び/又はその中に製造される基板を含むのに全体にわたって使用される。例えば、微細形状ワークピースは、シリコン又はガリウムヒ素ウェーハなどの半導体ウェーハ、ガラス基板、絶縁基板、及び他の多くのタイプの材料とすることができる。微細形状ワークピースは一般的に、0.05ミクロン又はそれより大きい寸法を有するサブミクロンのフィーチャ(形状)を有する。更に、用語「ガス」は、固定形状を持たない物質のいずれかの形態を含むのに全体にわたり使用され、特に蒸気(すなわち一定温度で圧縮することによって液化又は固化することができるような、臨界温度よりも低い温度を有するガス)を含む利用可能なスペースと容積で一致することになる。本発明による幾つかの実施形態を図4−17及び以下の本文に記載し、本発明の特定の実施形態の完全な理解を提供する。しかしながら当業者であれば、本発明が別の実施形態を有することができ、又は図4−17に示された実施形態の幾つかの詳細がなくとも実施することができることを理解するであろう。
本発明の種々の実施形態は、微細形状ワークピースホルダー、プロセスチャンバを含むシステム、及び微細形状ワークピースに材料を堆積させる方法を提供する。本発明の多くの特定の詳細は、微細形状ワークピースに材料を堆積させるための反応器に関して以下に説明する。用語「微細形状ワークピース」は、超小型電子デバイス、超小型機械デバイス、データ記憶素子、読み取り/書き込み構成部品、及び他のデバイスがその上及び/又はその中に製造される基板を含むのに全体にわたって使用される。例えば、微細形状ワークピースは、シリコン又はガリウムヒ素ウェーハなどの半導体ウェーハ、ガラス基板、絶縁基板、及び他の多くのタイプの材料とすることができる。微細形状ワークピースは一般的に、0.05ミクロン又はそれより大きい寸法を有するサブミクロンのフィーチャ(形状)を有する。更に、用語「ガス」は、固定形状を持たない物質のいずれかの形態を含むのに全体にわたり使用され、特に蒸気(すなわち一定温度で圧縮することによって液化又は固化することができるような、臨界温度よりも低い温度を有するガス)を含む利用可能なスペースと容積で一致することになる。本発明による幾つかの実施形態を図4−17及び以下の本文に記載し、本発明の特定の実施形態の完全な理解を提供する。しかしながら当業者であれば、本発明が別の実施形態を有することができ、又は図4−17に示された実施形態の幾つかの詳細がなくとも実施することができることを理解するであろう。
本発明の幾つかの実施形態は、例えばALDのような化学処理のため、複数の微細形状ワークピースを保持するように適合された微細形状ワークピースホルダーを提供する。これらのワークピースホルダーは、1つ又はそれ以上のガス送出導管を備えたガス分配器を含むことができる。ガス送出導管は、1つの注入口と複数の排出口とを有することができ、これらは、プロセスガスの流れをワークピース間のスペースに向けるように位置付けることができる。これは、例えば微細形状ワークピース表面への反応前駆体の均一な分布をより促進することができる。これらの排出口の配置もまた、ワークピース間のスペースの迅速かつ効果的なパージを促進することができる。
本発明の他の実施形態は、プロセスチャンバを定める容器、プロセスチャンバに配置された取外し可能な微細形状ワークピースホルダー、及びプロセスガス送出導管を含むことができる微細形状ワークピース処理システム提供する。ワークピースホルダーは、上述されたワークピースホルダーと類似のものとすることができ、プロセスガス供給源からのガスの流れを微細形状ワークピース間に向けるように適合された排出口を有するガス分配器を含む。
本発明の他の実施形態は、微細形状ワークピース上に材料を堆積させる方法を提供する。幾つかの方法を以下に説明するが、ALDに関係した特定の有用性を有する1つの方法は、プロセスチャンバ内に微細形状ワークピースホルダーを位置付ける段階を含み、微細形状ワークピースホルダーが、隣接するワークピースの各ペア間の処理スペースを定める複数のワークピースを支持している。第1前駆体ガスは、プロセスチャンバに送出され、微細形状ワークピースの各々の表面にある量の第1前駆体ガスを堆積させることができる。パージガスは、微細形状ワークピースホルダーに送出することができる。微細形状ワークピースホルダーは、パージガスの第1の流れをワークピースのペア間のスペースに横断方向に送出し、パージガスの第2の流れをワークピースの別のペア間の処理スペースに横断方向に送出するガス分配器を保持することができる。この方法は更に、第2前駆体ガスをプロセスチャンバに送出する段階を含むことができ、第2前駆体ガスは、ある量の第1前駆体ガスと反応してワークピースの表面上に材料の層を形成する。
理解を容易にするために、以下の説明を3つの重点エリアに分ける。第1セクションでは、本発明の選択された実施形態による微細形状ワークピースホルダーを説明する。第2のセクションでは、本発明の別の実施形態の微細形状ワークピース処理システムの態様を説明する。第3のセクションでは、本発明の別の態様による方法の概略を説明する。
B.微細形状ワークピースホルダー
図4−8は、本発明の1つの実施形態による微細形状ワークピースホルダー100を概略的に示している。この微細形状ワークピースホルダー100は一般に、ベース、複数の支柱120、及びキャップ150を含む。図4−8に示されている特定の実施形態は、3つの支柱、すなわち支柱120a、120b、及び120cを用いている。ベース110及びキャップ150は各々ほぼ半円形であり、支柱120a−cは、2つの外側の支柱120a及び120cがほぼ互いに正反対になるように互いに約90゜間隔を置いて配置されている。これは、ほぼ円形の微細形状ワークピースに関して有用とすることができる1つの利用可能な実施形態に過ぎない点を理解されたい。他の実施形態では、これよりも多いか又は少ない支柱120を用いることができる。更に、ベース110及び/又はキャップ150は、固体プレート又はディスクの形態を取ることができ、或いは他の何らかの所望の形状であってもよい。他の実施形態では、ベース110又はキャップ150の1つだけが用いられる。例えば、キャップ150は省くことができ、ベース110は、支柱120に必要な支持を提供することができる。
図4−8は、本発明の1つの実施形態による微細形状ワークピースホルダー100を概略的に示している。この微細形状ワークピースホルダー100は一般に、ベース、複数の支柱120、及びキャップ150を含む。図4−8に示されている特定の実施形態は、3つの支柱、すなわち支柱120a、120b、及び120cを用いている。ベース110及びキャップ150は各々ほぼ半円形であり、支柱120a−cは、2つの外側の支柱120a及び120cがほぼ互いに正反対になるように互いに約90゜間隔を置いて配置されている。これは、ほぼ円形の微細形状ワークピースに関して有用とすることができる1つの利用可能な実施形態に過ぎない点を理解されたい。他の実施形態では、これよりも多いか又は少ない支柱120を用いることができる。更に、ベース110及び/又はキャップ150は、固体プレート又はディスクの形態を取ることができ、或いは他の何らかの所望の形状であってもよい。他の実施形態では、ベース110又はキャップ150の1つだけが用いられる。例えば、キャップ150は省くことができ、ベース110は、支柱120に必要な支持を提供することができる。
微細形状ワークピースホルダー100の支柱120の各々は、断面がほぼ円形である。他の実施形態では、支柱は他の形状であってもよい。例えば支柱120は、引用によりその教示事項が本明細書に組み込まれる、名称「Silicon Fixtures Useful for High Temperature Wafer Processing(高温ウェーハ処理に有用なシリコン固定材)」のPCT国際公開番号WO02/095807で提案されたようなほぼ楔型とすることができる。
支柱120の各々は、その長さに沿って縦方向に間隔を置いて配置された複数のワークピース支持体を含む。図示された実施形態では、これらのワークピース支持体は、支柱120の本体に延びるスロット122を含む。他の実施形態では、ワークピースホルダーは、内側に延びるフィンガー、リング、クランプ、又は当該技術分野で公知の他のワークピース支持構造体(例えば半導体ウェーハ取扱及び処理装置で使用される支持体)を含むことができる。図4及び5は、多少の数のスロット122を備えた支柱を示している。ワークピースホルダー100が使用される用途によって、支柱120は、上記より少ないか又は多いスロットを含むことができる。
スロット122の大きさ及び形状は、要求に応じて変更することができる。1つの実施形態では、スロット122の各々は、微細形状ワークピースの1つの縁部を受け入れるように適合されている(図5で提案されているように)。単一のスロット122は、ワークピースWを支持するため微細形状ワークピースWの十分な部分を受け入れる程十分深くない場合がある。図示された実施形態では、支柱120a−cの各々のスロット122は、ワークピースWを協働して支持するように互いに位置付けられている。図5に示されるように、各スロット122は、他の2つの支柱120の各々のスロット122の対応するスロットとほぼ水平に整列させることができる。これにより、ワークピースWは、ワークピースWの各々の支持を強化するために3つの別個の周辺位置で支持することができる。これらのスロット122が支柱120の各々の長さに沿って等間隔で配置される場合、スロット122は、離間してほぼ平行な関係で複数の微細形状ワークピースWを支持することができる。ワークピースWの離間した関係は、ワークピースWの各々の間に処理スペースSを定めることになる。
図5に概略的に示されるように、微細形状ワークピースホルダー100はまた、ガス分配器130を含む。このガス分配器130は、ワークピースWに対してプロセスガスの流れを向けるように適合された少なくとも1つのガス送出導管134を含む。1つの実施形態では、単一のガス送出導管134が用いられる。図5に概略的に示される特定の実施形態では、ガス分配器130は、複数のガス送出導管134a−cを接続しているマニホールド132を含む。マニホールド132は、ベース110のガス注入口140とガス送出導管134a−cの各々との間に流体連通を形成するアーチ形ベース110内に形成されたアーチ形の流体通路を含むことができる。図示された実施形態では、個々のガス送出導管134が、支柱120の各々に関連付けられる。従って、第1ガス送出導管134aは、第1の支柱120aによって保持され、第2ガス送出導管134bは、第2の支柱120bによって保持され、第3ガス送出導管134cは、第3の支柱120cによって保持される。幾つかの実施形態では、支柱120の1つ又はそれ以上は、ガス送出導管134を含まない場合がある。他の実施形態では、1つより多いガス送出導管134が、各支柱120によって保持されてもよい。
図6−8でよく分かるように、ガス送出導管134bは、第2の支柱120b内に形成された内腔を含むことができる。(図6−8は第2の支柱120bだけを示しているが、他の支柱120a及び120cの構造はほぼ同じとすることができる。従って、以下の説明は、支柱120とガス送出導管134を一般的に言及している。)ガス送出導管134は、複数の横断通路136を含み、その各々は、ガス送出導管134から複数の排出口138の1つに流体を向ける。これらの排出口138は、2つの隣接するスロット122間に配置されている。横断通路136の各々を含むガス送出導管134は、断面がほぼ円形であり、排出口138はほぼ円形の開口部を定める。しかしながら、微細形状ワークピースホルダー100のガス送出導管134及び排出口138の大きさ及び形状は変えることができる。他の実施形態では、例えば、排出口138は、縦方向の寸法よりも長い横方向の寸法を有する楕円又はスロットを含むことができ、或いは方向性ノズル(図示せず)を含むことができる。
微細形状ワークピースWが微細形状ワークピースホルダー100に装荷されると、これらは一連の処理スペースSを定めることになる。少なくとも1つの排出口138は、これらの処理スペースSの各々に関連付けられるのが望ましい。図示された実施形態では、1つの排出口138は、支柱120a−cの各々の隣接するスロット122の各ペアの間に位置付けられる。その結果、3つの排出口138は、各処理スペースに関連付けられ、1つの排出口は、ワークピースWを支持する支柱120a−cの各々に関連付けられる。処理スペースSに横断ガス流を向けることで、ガス分配器130から処理スペースSに入り通り抜けるプロセスガスの流れを更に強化することができる。
図4に戻り参照すると、排出口138の各々は、微細形状ワークピースホルダー100の中心軸A(図4で)に向かって内側に向けられる。結果として、ワークピースWがホルダー100に位置付けられると、排出口138の各々は、ワークピースWの1つの中心に向かって内側にプロセスガスの流れを向けるように位置付けられることになる。これにより、材料堆積の均一性が更に強化され、及び/又はシステムのパージに必要な時間が短縮されることが期待される。
微細形状ワークピースホルダー100は、使用されることになる微細形状ワークピースW及び予測される使用条件の観点で好適な何らかの材料で形成することができる。微細形状ワークピースWが例えば半導体ウェーハを含む場合には、微細形状ワークピースホルダー100は、他の材料の中でもとりわけ、ガラス、溶融シリカ(例えば石英ガラス)、又はポリシリコン(すなわち多結晶シリコン)から形成することができる。汚れの影響をあまり受けない用途の他のタイプでは、微細形状ワークピースホルダー100は、金属、セラミック、又は適切に剛性があり耐久性もあるポリマー材料で形成することができる。
図9は、本発明の別の実施形態による微細形状ワークピースホルダー102を概略的に示している。この微細形状ワークピースホルダー102は、図4−8に示された微細形状ワークピースホルダー100と多くの点で類似しており、図4−9では同じ参照番号が同じ要素を示すのに使用される。
微細形状ワークピースホルダー100及び102間の1つの相違点は、ガス分配器の設計に関するものである。図5に示されたガス分配器130は、共通のマニホールド132を通ってガス送出導管134a−cの各々と連通している単一のガス注入口140を用いている。図9の微細形状ワークピースホルダー102は、マニホールド132を含まない。その代わりに図9のガス分配器131では、ガス送出導管134の各々に個別のガス注入口140を有する。従って、1つの注入口140aは、ガス送出導管134aの第1の導管と流体連通しており、第2のガス注入口140bは、第2のガス送出導管134bと流体連通し、第3のガス注入口140cは、第3のガス送出導管134cと流体連通している。この設計では、ガス送出導管134の各々は、他の導管134の各々を通じて送出されるプロセスガスの流れとは無関係のプロセスガスの流れを送出するよう適合可能である。以下に示されるように、これにより、異なるプロセスガスを導管134a−cの各々を通して送出することが可能になる。図1及び2に関して上記で概説されたALDプロセスの関連において、例えばガス送出導管の1つ(例えば導管134a)を第1前駆体ガスAの送出専用に設けることができ、ガス送出導管の第2の導管(例えば導管134b)は、第2前駆体ガスBを送出するのに使用することができ、更に第3ガス送出導管134cは、パージガスを送出するのに使用することができる。
図10−14は、本発明の別の実施形態による微細形状ワークピースホルダー200を概略的に示している。この微細形状ワークピースホルダー200は一般に、ベース210、キャップ250、及びベース210とキャップ250との間に延びる少なくとも1つの支柱220を含む。図示された実施形態は、図4の微細形状ワークピースホルダー100に関して上述されたものと同様の方法でほぼ等しい角度で間隔を置いて配置された3つの支柱220a−220cを用いている。支柱220a−cの各々は、微細形状ワークピースホルダー200の中心軸Aに向かって内側に向いた一連の縦方向に離間したスロット222を含むことができる。これらのスロット222の各々は、微細形状ワークピースWの縁部を受け入れるように適合することができる(理解を容易にするために、図10−14では省略されている)。特定の支柱220のスロット222の各々は、他の支柱220の各々の対応するスロット222と相対的に位置付けることができ、そのため、3つの対応するスロット222は、微細形状ワークピースWを協働して支持することができる。
図4−8及び図10−14それぞれの微細形状ワークピースホルダー100及び200間の相違の1つは、ガス分配器とワークピースホルダーの支柱との関係に関するものである。図4−8のワークピースホルダー100では、ガス分配器130は、幾つかのガス送出導管134を含み、その各々が支柱120の1つの内腔を含む。これとは対照的に、図10−14の微細形状ワークピースホルダー200は、ほぼ中実の支柱220を有する。図12及び14に示されるように、支柱220は、支柱の内側に面した表面内に形成された一連の離間したスロット222を備える中実の内部を有することができる。
図11を参照すると、微細形状ワークピースホルダー200はまた、全体が参照番号230で示されるガス分配器を含み、このガス分配器は、一連のガス送出導管234を含む。特に、第1ガス送出導管234aは、第1の支柱220aに対して保持され、第2ガス送出導管234bは第2の支柱220bに対して保持され、第3ガス送出導管234cは第3の支柱220cに対して保持される。ガス送出導管234の各々の位置は、どのような所望の方法によっても隣接する支柱220に対して決定することができる。1つの実施形態では、ガス送出導管234は、ベース210及び/又はキャップ250によって全体的に支持することができる。図10及び11に示される特定の実施形態では、導管234の各々のベース部分242は、ベース210の開口部内に受けられてこれを貫通するが、ガス送出導管234の反対側の端部は、キャップ250から間隔を置いて配置されている。別の実施形態では、導管234の各々は、同様にキャップ250に取り付けられる。図11及び12に示されるように、図示された実施形態のガス送出導管234の各々は、支柱220の1つの直近に位置付けられる。このような配置では、導管234の各々は、隣接する支柱220に取り付け、或いは該支柱220によって物理的に支持されることが可能である。他の実施形態(図示せず)では、導管234は、ベース210又はキャップ250に直接取り付けられることなく隣接する支柱220にだけ取り付けることができる。更に他の実施形態では、ガス送出導管234は、支柱220のいずれにも近接して位置付ける必要がない。例えば、1つのガス送出導管234は、第1の2つの支柱220a及び220bの間に位置付けることができ、第2のガス送出導管234は、第2及び第3の支柱220bと220cの間に位置付けることができる。
ガス送出導管234は、ガス注入口240と複数のガス排出口238との間の流体経路を提供する。図13に示されるように、ガス送出導管234(この場合、ガス送出導管234b)は、縦方向に延びるチャンネル235と、微細形状ワークピースホルダー200の軸(図10の軸A)に向かって横断方向内側に延びる一連の横断路236とを含むことができる。これらの経路236の排出口238は、それぞれの導管234の長さに沿って水平方向に位置付けることができ、そのため、各排出口238は、隣接するスロット222のペアの中間のプロセスガスの流れを向けることができる。微細形状ワークピースW(図10及び11では図示せず)が微細形状ワークピースホルダー200内に位置付けられる場合には、従ってこれらの排出口238は、プロセスガスの流れを2つの隣接する微細形状ワークピースWの間に定められた処理スペース(図5のS)に向けることになる。
図10−14のガス分配器230は、ガス送出導管234の各々に個々のガス注入口240を含む。他の実施形態では、ガス送出導管234の2つ又はそれ以上は、上述の微細形状ワークピースホルダー100のマニホールド132と同様に、ベース210のマニホールド(図示せず)を介して共通の注入口240と連通することができる。
C.微細形状ワークピース処理システム
微細形状ワークピースホルダー100、102、及び200は、種々の処理に使用することができる。図15−17は、微細形状ワークピースホルダーを用いて微細形状ワークピースのバッチを同時に処理する、選択した微細形状ワークピース処理システムを概略的に示している。これらの処理システムで用いられる微細形状ワークピースホルダーは、選択した実施形態では、上述の微細形状ワークピースホルダー100、102、及び/又は200の特徴を用いることができる。
微細形状ワークピースホルダー100、102、及び200は、種々の処理に使用することができる。図15−17は、微細形状ワークピースホルダーを用いて微細形状ワークピースのバッチを同時に処理する、選択した微細形状ワークピース処理システムを概略的に示している。これらの処理システムで用いられる微細形状ワークピースホルダーは、選択した実施形態では、上述の微細形状ワークピースホルダー100、102、及び/又は200の特徴を用いることができる。
図15は、本発明の1つの実施形態による微細形状ワークピース処理システム300を概略的に示している。このシステム300は、ホルダーに複数の微細形状ワークピースWを受け入れるように適合された反応器310を含む。図15に示された特定の実施形態では、ワークピースWは、図4−8に関して上述されたように実質的にワークピースホルダー100内に保持することができる。
反応器310は一般に、壁322によって定められる容器320と、ホルダーを支持するプラットフォーム326とを含む。壁322は、図15にOリングシール324として概略的に示されるように、プラットフォーム326をシール係合することができる。これは、微細形状ワークピースホルダー100を受け入れることができるプロセスチャンバ325を定めることになる。反応器310はまた、ヒータ330と真空装置340を含むことができ、該真空装置340は真空ライン342によってプロセスチャンバ325と連通する。ヒータ330は、例えばインダクタンスヒータ又は同様のものなど、どのような従来の設計のものであってもよい。
反応器310のガス供給システム350は一般に、複数の個々のガス供給源352を含み、少なくとも1つのガス供給源352は、システム300のワークピースWの処理に使用されるプロセスガスの各々を供給する。図示の実施形態は、第1ガス(GAS1)を送出する第1ガス供給源352a、第2ガス(GAS2)を送出するように適合された第2ガス供給源352b、及び第3ガス(GAS3)を送出するように適合された第3ガス供給源352cを含む。図1及び2に関して上述されたようなALDの関連において、第1ガス供給源352aは、第1前駆体Aを供給することができ、第2ガス供給源352bは、第2前駆体Bを供給することができ、第3ガス供給源352cは、パージガスを供給することができる。個々のガス供給源352a−cの各々は、個々のガス供給源ライン356a−cをそれぞれ備えることができる。これらの個々の供給ライン356a−cは、一次ガス供給源ライン356に結合されている。図示された実施形態では、個々のガス供給源ライン356a−cの各々は、選択的に制御可能な二次バルブ354a−cをそれぞれ備える。これらの二次バルブを用いて、個々のガス供給源352の各々から一次ガス供給源ライン356へのガスの流量を制御することができ、従って、ガス供給源ライン356へのガスの組成及び流量を調節する。
ホルダー100のガス分配器130は、種々の方法でガス供給システム350に結合することができる。図15の概略図では、プラットフォーム326のガス取付具360をホルダー100のガス注入口140に開放可能に結合することができる。この取付具360は、供給ライン356によってガス供給源350の他の部分に結合される。
供給ライン356を通ってホルダー100のガス分配器130に入るガスの流れは、コントローラ370の制御下にあるメインバルブ362によって少なくとも部分的に制御することができる。コントローラ370は、種々の形態のいずれも取ることができる。1つの実施形態では、コントローラ30は、システム300の動作を制御して材料をワークピースW上に堆積させるようにプログラムされた、プログラム可能なプロセッサを有するコンピュータを含む。コントローラ370はまた、二次バルブ354a−cに動作可能に結合され、供給ライン356を介してメインバルブ362に送出されるガスの組成を管理する。コントローラ370はまた、真空装置340(図示されるように)、又は、例えばヒータ330などの処理システム300の他のいずれかの構成部品に結合することができる。
図16は、本発明の別の実施形態による微細形状ワークピース処理システム302を概略的に示している。この処理システム302は、図15の処理システム300と多くの点で類似しており、図15及び16において同じ参照番号が同じ要素を示すのに使用される。処理システム300は、図4−8の微細形状ワークピースホルダー100を使用する。図16の処理システム302のワークピースWは、代わって、図9の微細形状ワークピースホルダー102内に保持される。上述のように、このホルダー102のガス分配器131は、一連の独立したガス送出導管134を有し、その各々は、別個のガス注入口140を有する。
図16のガス供給源351は、多くの点で図15のガス供給源350に類似している。図15では、個々のガス供給ライン356a−cの各々は、単一のガス取付具360への送出のためにメイン供給ライン356に統合されていた。しかしながら、図16のガス供給源351では、個々のガス供給源352a−cの各々は、個別のガス取付具360a−cそれぞれに独立して結合されている。特に、第1ガス取付具360aは、第1ガス供給ライン356aを第1注入口140aに開放可能に結合し、第2ガス取付具360bは、第2ガス供給ライン356bを第2ガス注入口140bに開放可能に結合し、第3ガス取付具360cは、第3ガス供給ライン356cを第3ガス注入口140cに開放可能に結合することができる。ガス供給源352a−cの各々からのガスの流れは、共通のコントローラ370により個別のバルブ354a−cによって独立して制御することができる。個別の専用ガス送出導管134を介してプロセスガスの各々を導くことで、1つの前駆体を送出後、別の前駆体を送出する前にガス送出導管134をパージする必要を排除することができる。これは、前駆体ガスをプロセスチャンバ325に個別に導入することが可能となり、ワークピースWの近傍への反応物質の堆積をより効果的に制限するので、CVD用途では有利とすることができる。
図17は、本発明の更に別の実施形態による微細形状ワークピース処理システム400を示している。この処理システム400は一般に、処理容器420を有する反応器410を含み、該処理容器420内に1つ又はそれ以上のワークピースWを保持するワークピースホルダー(例えば、図4−8のワークピースホルダー100)を収容することができる。処理容器420は一般に、外壁422と、ワークピースホルダー100を保持するように適合されたプラットフォーム426とによって定められる。この処理容器420はまた、プロセスチャンバ425をメインチャンバ427と、真空ライン442によって真空装置440に結合されたほぼ環状の排出口428とに機能的に分割するライナー424を含む。反応器410はまた、ヒータ430を含むことができる。
処理システム400は、第1ガス供給システム450と第2ガス供給システム460とを含むことができる。第1ガス供給システム450は、複数の個々のガス供給源452a−cを含み、その各々は、個別のプロセスガスを含むことができる。従って、第1ガス供給源452aは前駆体ガスA(GAS1)を含むことができ、第2ガス供給源452bは第2前駆体ガスB(GAS2)を供給することができる。任意選択的であるが、第1ガス供給システム450はまた、第3ガス供給源にパージガス(GAS3)の供給源を含むことができる。これらの個々のガス供給源452a−cの各々は、共通のガス供給ライン456に結合することができる。個別のバルブ454a、454b、又は454cは、コントローラ470によって動作させ、個々のガス供給源452a、452b、及び452cからのガスの流れをそれぞれ制御することができる。
第1ガス供給システム450のガス供給ライン456は、1つ又はそれ以上のガス供給ノズル458と流体連通することができる。ガス供給ノズル458は、プロセスガスの流れをプロセスチャンバ425の処理スペースSの外側のメインチャンバ427に送出するように適合させることができる。このガスは、メインチャンバ427をほぼ縦方向に通って、次いで環状排出口428を介してプロセスチャンバ425の外に流出することができる。このガス供給システム450は、図3に示されたガス供給源30及びガスノズル32に幾つかの点で類似している。
微細形状ワークピース処理システム400はまた、第2ガス供給システム460を含む。このガス供給システム460は、1つ又はそれ以上のプロセスガスをワークピースホルダー100のガス分配器130を介してプロセスチャンバ425に送出するように適合させることができる。ガスは、ノズル458から縦方向に向けられた流れを横断する方向でガス分配器130の排出口138から出ることになる。図17に示された特定の実施形態では、第2ガス供給システム460は、パージガス(GAS3)を容れた単一の個々のガス供給源462を含む。個々のガス供給源462は、コントローラ470に動作可能に連結されたバルブ464によってプラットフォーム426のガス取付具468に結合される。ガス取付具468は、ガス分配器130の注入口140に開放可能に結合するよう適合されている。図16に示された第2ガス供給システム460は、単一のパージガスだけを供給するが、このガス供給システム460は、2つ又はそれ以上の個々のガス供給源462を含み、ガス分配器130に種々の異なるプロセスガス組成物を供給することができる。
D.微細形状ワークピース上に材料を堆積させる方法
上述のように、本発明の他の実施形態は、微細形状ワークピースを処理する方法を提供する。以下の説明では、図15−17に示された特定の微細形状ワークピース処理システム300、302、及び400について述べる。しかしながら、本明細書で使用されるこれらの特定の処理システム及びワークピースホルダーへの言及は、単に例証の目的に過ぎず、以下で概説される方法は、図面で示され又は上記で詳細に説明されたどのような特定のワークピースホルダー又は処理システムにも限定されないことを理解されたい。更に、以下の説明は主にALDを中心としており、更に利用可能なCVD応用に言及している。以下に概説されるプロセスが、これらの特定の堆積プロセスに限定すべきではない点を理解されたい。実際に、以下に概説される方法の態様は、例えば選択的エッチングなどの材料堆積以外のプロセスを行うことができる用途において有用とすることができる。
上述のように、本発明の他の実施形態は、微細形状ワークピースを処理する方法を提供する。以下の説明では、図15−17に示された特定の微細形状ワークピース処理システム300、302、及び400について述べる。しかしながら、本明細書で使用されるこれらの特定の処理システム及びワークピースホルダーへの言及は、単に例証の目的に過ぎず、以下で概説される方法は、図面で示され又は上記で詳細に説明されたどのような特定のワークピースホルダー又は処理システムにも限定されないことを理解されたい。更に、以下の説明は主にALDを中心としており、更に利用可能なCVD応用に言及している。以下に概説されるプロセスが、これらの特定の堆積プロセスに限定すべきではない点を理解されたい。実際に、以下に概説される方法の態様は、例えば選択的エッチングなどの材料堆積以外のプロセスを行うことができる用途において有用とすることができる。
1つの実施形態によれば、微細形状ワークピースを処理する方法は、プロセスチャンバ325において微細形状ワークピースホルダー100を位置付ける段階を含むことができる(実施例として処理システム300を使用)。ワークピースホルダー100は、離間した関係で複数のワークピースWを支持して、隣接するワークピースWの各ペア間に処理スペースSを定めることができる。微細形状ワークピースホルダー100は、プラットフォーム326上にホルダー100を配置することによってプロセスチャンバ内に位置付けることができる。1つの実施形態では、ガス取付具360は、この時点でガス分配器130のガス注入口140に結合することができる。ホルダー100がプラットフォーム326上の所定位置に置かれると、シール324が容器320を実質的に密閉してプロセスチャンバ325を定めるまで、プラットフォーム326は壁322に向かって移動することができる。
この実施形態はまた、少なくとも第1プロセスガス及び第2プロセスガスをプロセスチャンバ325に送出する段階を含む。1つの実施形態では、第1プロセスガス、例えば第1前駆体Aは、第1調節バルブ354aとメインバルブ362を開放することによってプロセスチャンバ325に送出することができる。これによって、第1ガスがガス分配器130内に入って外側に流れ、排出口138を介してプロセスチャンバ325に流れ込むことが可能になる。上述のように、これらの排出口138は、プロセスガスの流れをワークピースW間の処理スペースSに横断方向に送出することになる。
第2プロセスガスは、第1プロセスガスの送出と同時(例えば、CVDの場合)又は異なる時間でプロセスチャンバ325に送出することができる。図15の処理システム300がALDによる材料の堆積に使用される場合、例えば第1供給源352aからの第1前駆体ガスAの流れは、バルブ354aを閉鎖することによって終了させることができる。第3ガス供給源352cからのパージガスの流れは、関連する調節バルブ154cを開放することによって処理スペースSに送出することができる。調節バルブ154cは閉鎖することができ、次いで、第2ガス供給源352bからの第2前駆体ガスBの流れをノズル138を介して導入することができる。バルブ354及び362と真空装置340との適切な制御により、コントローラ370を用いて、図1及び2に関して上述されたようなALDプロセスによって反応生産物を堆積させることができる。
排出口138を介してワークピースW間の処理スペースSに横断方向にプロセスガスを送出することで、処理スペースSに存在するガスをかなり急速に交換させることができる。図3の従来のシステムでは、ワークピースW間のスペースにおけるガス交換の主な方法の1つは拡散である。本発明の実施形態によりガスの横断方向の流れを送出することで、ワークピースWの表面上で化学吸着又は反応することになる前駆体のより均一な施工を提供することができる。排出口138を通ってパージガスを送出することもまた、処理スペースSでのガスのより積極的な洗浄を可能にし、処理スペースSからあらゆる余分な前駆体ガスをかなり迅速に除去するのに役立つ。これにより、図2に示されたプロセスのパージ段階を大いにスピードアップすることができる。
図15の処理システム300は、ワークピースホルダー100の単一の注入口140及びガス分配器130を介してプロセスガスを導入する。図16の処理システム302によって、プロセスガスの各々(ガス1-3)を別個のガス送出導管134a、134b、又は134cを介してプロセスチャンバ325に導入することができる。これは、ALD及びCVD応用の両方で特に有用とすることができる。反応前駆体の供給のための経路を個別の導管134に分けることにより、同じガス供給システムを介して第2前駆体を導入する前に第1前駆体のガス供給システムをパージするように留意する必要性が少なくなる。CVDの関連において、ガスは、別々に導入することができ、処理スペースSで混合させて、ワークピースWの直近で反応させることができる。これは、前駆体が共通のガス供給経路を介して共に導入される場合に問題となる可能性のある、極めて反応性に富む前駆体の使用を可能にすることができる。
別の特定の実施形態では、プロセスガスの1つは、ワークピースホルダー100のガス分配器130を介して導入することができるが、第2プロセスガスは、ガス分配器130とは独立した送出導管を介して送出することができる。図17の微細形状ワークピース処理システム400の関連において、例えば前駆体ガスは、ガス供給ノズル458を介して別個の個々のガス供給源452a及び452bから導入することができる。これにより、図3のALD反応器10と同じ方法でワークピースW間の処理スペースSに前駆体が送出されることになる。しかしながら、図2に示されたパージ段階では、パージガス(GAS3)は、ノズル458を介したパージガスの導入に加え、或いはこれに代わってガス分配器130を介して導入することができる。ワークピースホルダーガス分配器130の排出口138を介してパージガスを送出することで、処理スペースSの洗浄を積極的に助け、あらゆる余分な前駆体をかなり迅速に一掃することになる。
文脈上他の意味に解すべき場合を除き、説明及び請求項全体を通じて、単語「含む」、「含んでいる」、又は同様のものは、排他的又は網羅的な意味とは対立するものとして包括的な意味、すなわち「限定ではないが含む」の意味に解釈すべきものとする。単数又は複数を用いる単語はまた、複数又は単数をそれぞれ含む。請求項が、2つ又はそれ以上の項目の列挙に関して単語「又は」を使用する場合、当該単語は、単語の以下の解釈、すなわち、列挙項目のいずれか、全て、及びいずれかの組合せの全てを対象として含む。
本発明の実施形態の上述の説明は網羅的なものではなく、或いは、上記で開示された厳密な形式に対し本発明を限定するものではない。当業者が理解するように、本発明の特定の実施形態及びその実施例は、例証の目的で上されたが、種々の同等の修正形態が本発明の範囲内で可能である。例えば、各段階が所与の順序で示されているが、別の実施形態では異なる順序で該段階を実行することができる。本明細書で説明された種々の実施形態を組み合わせて別の実施形態を提供することができる。
一般に、添付の請求項で使用される用語は、上述の説明がこのような用語を明確に定義しない限り、本明細書で開示された特定の実施形態に本発明を限定するものと解釈すべきではない。本発明の幾つかの態様が幾つかの請求項の形式で以下に提示されているが、発明者らは、どの番号の請求項形式においても本発明の種々の態様を企図している。従って、発明者らは、本発明の他の態様に対するこのような追加の請求項の形態を進めるために本出願申請後に付加的な請求項を追加する権利を留保する。
100 微細形状ワークピースホルダー
300 微細形状ワークピース処理システム
310 反応器
320 ヒータ
340 真空装置
350 ガス供給システム
362 メインバルブ
300 微細形状ワークピース処理システム
310 反応器
320 ヒータ
340 真空装置
350 ガス供給システム
362 メインバルブ
Claims (52)
- 化学処理のための複数の微細形状ワークピースを保持するように適合された微細形状ワークピースホルダーであって、
長さに沿って縦方向に間隔を置いて配置された複数のワークピース支持体を有し、該ワークピース支持体が前記複数の微細形状ワークピースを処理のために離間した関係で支持するように適合されている縦方向に延びる部材と、
前記縦方向に延びる部材によって保持され、注入口と、第1排出口と、該第1排出口から縦方向に間隔を置いて配置された第2排出口とを有し、前記第1排出口が前記ワークピース支持体の第1ペアの間にプロセスガスの流れを向けるように位置付けられ、前記第2排出口が前記ワークピース支持体の第2ペアの間にプロセスガスの流れを向けるように位置付けられている、縦方向に延びるガス送出導管と、
を備える微細形状ワークピースホルダー。 - 前記ワークピース支持体の各々は、前記微細形状ワークピースの1つの縁部を受け入れるように適合されたスロットを前記縦方向に延びる部材内に含むことを特徴とする請求項1に記載の微細形状ワークピースホルダー。
- 前記縦方向に延びる部材は第1の縦方向に延びる部材であり、前記微細形状ワークピースホルダーが更に、複数のワークピース支持体を含む第2の縦方向に延びる部材を備え、前記第2の縦方向に延びる部材のワークピース支持体の各々は、前記微細形状ワークピースの1つを協働して支持するように前記第1の縦方向に延びる部材のワークピース支持体に相対的に位置付けられることを特徴とする請求項1に記載の微細形状ワークピースホルダー。
- 前記縦方向に延びる部材は第1の縦方向に延びる部材であり、前記ガス送出導管は第1ガス送出導管であり、前記微細形状ワークピースホルダーが更に、第2の縦方向に延びる部材と該第2の縦方向に延びる部材によって保持される第2のガス送出導管とを備えることを特徴とする請求項1に記載の微細形状ワークピースホルダー。
- 前記縦方向に延びる部材は第1の縦方向に延びる部材であり、前記ガス送出導管は第1プロセスガスの流れを送出するように適合された第1ガス送出導管であり、前記微細形状ワークピースホルダーが更に、第2の縦方向に延びる部材と、該第2の縦方向に延びる部材によって保持される第2ガス送出導管とを備え、前記第2ガス送出導管は、前記第1プロセスガスの流れとは独立した第2プロセスガスの流れを送出するように適合されていることを特徴とする請求項1に記載の微細形状ワークピースホルダー。
- 前記ガス送出導管は、前記縦方向部材の内腔を含むことを特徴とする請求項1に記載の微細形状ワークピースホルダー。
- 前記縦方向に延びる部材は、第1の縦方向に延びる部材であり、前記ガス送出導管は、前記第1の縦方向部材の内腔を含む第1ガス送出導管であり、前記微細形状ワークピースホルダーが更に、第2ガス送出導管を定める内腔を有する第2の縦方向に延びる部材を備えることを特徴とする請求項1に記載の微細形状ワークピースホルダー。
- 前記ガス送出導管は、前記縦方向部材とは別個に形成され、前記ガス送出導管の長さは、前記縦方向部材の長さと平行して延びることを特徴とする請求項1に記載の微細形状ワークピースホルダー。
- 前記ガス送出導管の注入口に開放可能に結合されたプロセスガス送出導管を更に備えることを特徴とする請求項1に記載の微細形状ワークピースホルダー。
- 前記ガス送出導管の第1及び第2排出口の各々は、前記微細形状ワークピースが前記微細形状ワークピースホルダー内に装荷されたときに、前記複数の微細形状ワークピースの1つの中心に向かって内側にプロセスガスの流れを向けるように位置付けられることを特徴とする請求項1に記載の微細形状ワークピースホルダー。
- 複数の微細形状ワークピースを保持するように適合されている微細形状ワークピースホルダーであって、
離間した関係で前記複数の微細形状ワークピースを支持して、隣接する前記微細形状ワークピースのペア間に処理スペースを定めるように適合されている複数のワークピース支持体と、
注入口と複数の排出口とを含み、前記ワークピース支持体に対して固定されたガス分配器と、
を備え、
前記排出口は、前記処理スペースにプロセスガスの流れを向けるように前記ワークピース支持体に相対的に位置付けられることを特徴とする微細形状ワークピースホルダー。 - 前記ワークピース支持体の各々は、前記微細形状ワークピースの1つの縁部を受け入れるように適合されたスロットを含むことを特徴とする請求項11に記載の微細形状ワークピースホルダー。
- 前記ワークピース支持体は縦方向に延びる部材によって保持され、前記ガス分配器の少なくとも一部分が前記縦方向に延びる部材によって保持されることを特徴とする請求項11に記載の微細形状ワークピースホルダー。
- 前記ワークピース支持体は、第1ワークピース支持体のセットと第2ワークピース支持体のセットとを含み、前記第1ワークピース支持体のセットは第1部材によって保持され、前記第2ワークピース支持体のセットは第2部材によって保持されることを特徴とする請求項11に記載の微細形状ワークピースホルダー。
- 前記ワークピース支持体は複数の第1ワークピース支持体と複数の第2ワークピース支持体とを含み、前記複数の第1ワークピース支持体は第1部材によって保持され、前記複数の第2ワークピース支持体は第2部材によって保持され、前記第2ワークピース支持体の各々は、前記微細形状ワークピースの1つを協働して支持するように前記第1ワークピース支持体の1つに相対的に位置付けられることを特徴とする請求項11に記載の微細形状ワークピースホルダー。
- 前記ガス分配器は第1ガス送出導管と第2ガス送出導管とを含み、前記注入口は前記第1ガス送出導管と流体連通した第1注入口であり、前記微細形状ワークピースホルダーが更に、前記第2ガス送出導管と流体連通した第2注入口を備えることを特徴とする請求項11に記載の微細形状ワークピースホルダー。
- 前記第1ガス送出導管が第1プロセスガスの流れを送出するように適合され、前記第2ガス送出導管が前記第1プロセスガスの流れから独立した第2プロセスガスの流れを送出するように適合されていることを特徴とする請求項16に記載の微細形状ワークピースホルダー。
- 前記ワークピース支持体が縦方向に延びる部材によって保持され、前記ガス分配器の少なくとも一部分が前記縦方向に延びる部材と一体的に形成されることを特徴とする請求項11に記載の微細形状ワークピースホルダー。
- 前記ワークピース支持体が縦方向に延びる部材によって保持され、前記ガス分配器が前記縦方向部材の長さに平行に延びる長さを有するガス送出導管を含むことを特徴とする請求項11に記載の微細形状ワークピースホルダー。
- 前記ガス送出導管の注入口に開放可能に結合されたプロセスガス送出導管を更に備えることを特徴とする請求項11に記載の微細形状ワークピースホルダー。
- 前記排出口の各々は、前記微細形状ワークピースが前記微細形状ワークピースホルダー内に装荷されたときに、前記複数の微細形状ワークピースの1つの中心に向かって内側にプロセスガスの流れを向けるように位置付けられることを特徴とする請求項11に記載の微細形状ワークピースホルダー。
- 複数の微細形状ワークピースを保持するように適合されている微細形状ワークピースホルダーであって、
長さに沿って間隔を置いて配置された複数の第1ワークピース支持体と、2つの隣接する前記ワークピース支持体の間に配置され且つ長さに沿って間隔を置いて配置された複数の排出口と、前記排出口の各々に注入口を結合する内腔とを有する第1部材と、
長さに沿って間隔を置いて配置された複数の第2ワークピース支持体を有し、該第2ワークピース支持体の各々がワークピースを協働して支持するように前記第1ワークピース支持体の1つに相対的に位置付けられた第2部材と、
前記第1及び第2部材に接合された少なくとも1つの横方向部材と、
を備えることを特徴とする微細形状ワークピースホルダー。 - 前記第1及び第2ワークピース支持体の各々が、前記微細形状ワークピースの1つの縁部を受け入れるように適合されたスロットを含むことを特徴とする請求項22に記載の微細形状ワークピースホルダー。
- 前記第2部材は、該第2部材の長さに沿って間隔を置いて配置された複数の第2部材排出口と、第2部材注入口を前記第2部材排出口の各々に結合する内腔とを含むことを特徴とする請求項22に記載の微細形状ワークピースホルダー。
- 前記注入口に開放可能に結合されたプロセスガス送出導管を更に備えることを特徴とする請求項22に記載の微細形状ワークピースホルダー。
- 前記排出口の各々は、前記微細形状ワークピースが前記微細形状ワークピースホルダー内に装荷されたときに、前記複数の微細形状ワークピースの1つの中心に向かって内側にプロセスガスの流れを向けるように位置付けられることを特徴とする請求項22に記載の微細形状ワークピースホルダー。
- 複数の微細形状ワークピースを保持するように適合されている微細形状ワークピースホルダーであって、
離間した関係で複数の微細形状ワークピースを支持して、隣接する微細形状ワークピースの各ペア間に処理スペースを定めるワークピース支持手段と、
前記処理スペースの1つにプロセスガスの流れを向けるように各々が位置付けられた複数の排出口を含む、前記ワークピース支持手段に隣接したガス分配手段と、
を備えることを特徴とする微細形状ワークピースホルダー。 - 微細形状ワークピース処理システムであって、
プロセスチャンバを定める容器と、
前記プロセスチャンバ内に配置された取外し可能な微細形状ワークピースホルダーと、
を備え、
前記微細形状ワークピースホルダーが、
離間した関係で複数の微細形状ワークピースを支持して、前記微細形状ワークピースの各々の表面に隣接した処理スペースを定めるように適合された複数のワークピース支持体と、
注入口と複数の排出口とを含み、前記排出口の各々が前記処理スペースの1つにプロセスガスの流れを向けるように位置付けられているガス分配器と、
を含み、微細形状ワークピース処理システムが更に、
前記微細形状ワークピースホルダーのガス分配器の注入口に結合されたプロセスガス送出導管を備えることを特徴とする微細形状ワークピース処理システム。 - 前記ガス分配器が、第1導管と、該第1導管から間隔を置いて配置された第2導管とを含み、前記第1及び第2導管の各々が、前記処理スペースに隣接して縦方向に延びることを特徴とする請求項28に記載の微細形状ワークピース処理システム。
- 前記注入口が第1注入口を含み、前記ガス分配器が、第1導管と、該第1導管から間隔を置いて配置された第2導管とを含み、前記微細形状ワークピースホルダーが更に、第2注入口と、前記第1注入口と流体連通した前記第1導管と、前記第2注入口と流体連通した前記第2導管とを含むことを特徴とする請求項28に記載の微細形状ワークピース処理システム。
- 前記プロセスガス送出導管が第1プロセスガス送出導管であり、前記微細形状ワークピース処理システムが更に、第2ガス送出排出口に結合された第2ガス送出導管を備え、前記第2ガス送出排出口が、前記ガス分配器排出口からの前記ガスの流れ方向に対して横断する方向でガスの流れを送出するように適合されていることを特徴とする請求項28に記載の微細形状ワークピース処理システム。
- 前記ワークピースホルダーは、前記ワークピース支持体の少なくとも幾つかを保持する縦方向に延びる部材を含み、前記ガス分配器が、前記縦方向に延びる部材の内腔を含むことを特徴とする請求項28に記載の微細形状ワークピース処理システム。
- 前記ワークピース支持体の各々が、前記微細形状ワークピースの1つの縁部を受け入れるように適合されているスロットを含むことを特徴とする請求項28に記載の微細形状ワークピース処理システム。
- 前記ワークピース支持体が縦方向に延びる部材によって保持され、前記ガス分配器の少なくとも一部分が前記縦方向に延びる部材によって保持されることを特徴とする請求項28に記載の微細形状ワークピース処理システム。
- 前記ワークピース支持体が縦方向に延びる部材によって保持され、前記ガス分配器の少なくとも一部分が前記縦方向に延びる部材の内腔であることを特徴とする請求項28に記載の微細形状ワークピース処理システム。
- 前記ワークピース支持体が縦方向に延びる部材によって保持され、前記ガス分配器が、前記縦方向部材の長さに平行に延びる長さを有するガス送出導管を含むことを特徴とする請求項28に記載の微細形状ワークピース処理システム。
- 前記排出口の各々が、前記微細形状ワークピースが前記微細形状ワークピースホルダー内に装荷されたときに、前記複数の微細形状ワークピースの1つの中心に向かって内側に前記プロセスガスの流れを向けるように位置付けられることを特徴とする請求項28に記載の微細形状ワークピース処理システム。
- 微細形状ワークピース処理システムであって、
プロセスチャンバを定める容器と、
前記プロセスチャンバ内に配置された取外し可能な微細形状ワークピースホルダーと、
を備え、
前記微細形状ワークピースホルダーが、
前記縦方向に延びる部材の長さに沿って縦方向に間隔を置いて配置された複数のワークピース支持体を有し、ウェーハ支持体が処理のために離間した関係で前記複数の微細形状ワークピースを支持するように適合されている縦方向に延びる部材と、
前記縦方向に延びる部材によって保持され、注入口と、第1排出口と、該第1排出口から縦方向に間隔を置いて配置された第2排出口とを有し、前記第1排出口が、前記ウェーハ支持体の第1ペアの間にプロセスガスの流れを向けるように位置付けられ、前記第2排出口が、前記ウェーハ支持体の第2ペアの間にプロセスガスの流れを向けるように位置付けられている縦方向に延びるガス送出導管と、
を含み、前記微細形状ワークピース処理システムが更に、
前記微細形状ワークピースホルダーのガス分配器の注入口に結合されたプロセスガス送出導管を備えることを特徴とする微細形状ワークピース処理システム。 - 前記ワークピース支持体の各々が、前記微細形状ワークピースの1つの縁部を受け入れるように適合されているスロットを前記縦方向に延びる部材内に含むことを特徴とする請求項38に記載の微細形状ワークピース処理システム。
- 前記縦方向に延びる部材が第1の縦方向に延びる部材であり、前記ガス送出導管が第1ガス送出導管であり、前記微細形状ワークピースホルダーが更に、第2の縦方向に延びる部材と、該第2の縦方向に延びる部材によって保持された第2ガス送出導管とを含むことを特徴とする請求項38に記載の微細形状ワークピース処理システム。
- 前記ガス送出導管が前記縦方向部材の内腔を含むことを特徴とする請求項38に記載の微細形状ワークピース処理システム。
- 前記ガス送出導管が前記縦方向部材とは別個に形成され、前記ガス送出導管の長さは、前記縦方向部材の長さと平行して延びることを特徴とする請求項38に記載の微細形状ワークピース処理システム。
- 前記ガス送出導管の第1及び第2排出口の各々は、前記微細形状ワークピースが前記微細形状ワークピースホルダー内に装荷されたときに、前記複数の微細形状ワークピースの1つの中心に向かって内側にプロセスガスの流れを向けるように位置付けられることを特徴とする請求項38に記載の微細形状ワークピース処理システム。
- 微細形状ワークピースを処理する方法であって、
離間した状態で第1、第2、及び第3微細形状ワークピースを支持して、前記第1及び第2微細形状ワークピースの間に第1処理スペースを定め且つ前記第2及び第3微細形状ワークピースの間に第2処理スペースを定める微細形状ワークピースホルダーをプロセスチャンバ内に位置付ける段階と、
ガス分配器を保持する前記微細形状ワークピースホルダーに対して、前記ガス分配器が前記第1処理スペースに横断方向に第1プロセスガスの第1の流れを送出し、且つ前記第2処理スペースに横断方向に前記第1プロセスガスの第2の流れを送出するように前記第1プロセスガスを送出する段階と、
第2プロセスガスを前記プロセスチャンバに送出する段階と、
前記プロセスチャンバから前記微細形状ワークピースホルダー及び前記微細形状ワークピースを除去する段階と、
を含む方法。 - 前記プロセスチャンバ内に前記微細形状ワークピースホルダーを位置付ける段階が、前記微細形状ワークピースホルダーを容器内に配置する段階と、前記容器を実質的に密封して前記プロセスチャンバを定める段階とを含む請求項44に記載の方法。
- 前記第2プロセスガスを前記プロセスチャンバに送出する段階が、前記ガス分配器とは独立した送出導管を介して前記第2プロセスガスを前記プロセスチャンバに直接送出する段階を含む請求項44に記載の方法。
- 前記第2プロセスガスを前記プロセスチャンバに送出する段階が、前記第2プロセスガスを前記微細形状ワークピースホルダーに送出する段階と、前記第2プロセスガスの第1の流れを前記ガス分配器を介して前記第1処理スペースに横断方向に送出する段階と、前記第2プロセスガスの第2の流れを前記ガス分配器を介して前記第2処理スペースに横断方向に送出する段階とを含む請求項44に記載の方法。
- 前記ガス分配器は、第1ガス送出導管と、独立した第2ガス送出導管とを含み、前記第1プロセスガスを前記微細形状ワークピースホルダーに送出する段階が、前記第1プロセスガスを前記第1導管に送出する段階を含み、前記第2プロセスガスを前記プロセスチャンバに送出する段階が、前記第2プロセスガスを前記第2ガス送出導管に送出する段階を含む請求項44に記載の方法。
- 前記第2ガス送出導管は、前記第2プロセスガスの第1の流れを前記第1処理スペースに横断方向に送出し、且つ前記第2プロセスガスの第2の流れを前記第2処理スペースに横断方向に送出する請求項48に記載の方法。
- 前記ガス分配器はガス送出導管を含み、前記第1プロセスガスの第1の流れが、前記ガス送出導管を介して、前記第1プロセスガスを前記第1処理スペースに向ける第1排出口に送出され、前記第1プロセスガスの第2の流れは、前記ガス送出導管を介して、前記第1プロセスガスを前記第2処理スペースに向ける第2排出口に送出されることを特徴とする請求項44に記載の方法。
- 前記ガス分配器は第1ガス送出導管と第2ガス送出導管とを含み、
前記第1プロセスガスの第1の流れが、前記第1プロセスガスを前記第1処理スペースに向ける第1排出口に前記ガス送出導管を介して送出され、
前記第1プロセスガスの第2の流れが、前記第1プロセスガスを前記第2処理スペースに向ける第2排出口に前記ガス送出導管を介して送出され、
前記第2プロセスガスを前記プロセスチャンバに送出する段階が、
前記第2プロセスガスの第1の流れを、前記第2プロセスガスを前記第1処理スペースに横断方向に向ける第3排出口に前記第2ガス送出導管を介して送出する段階と、
前記第2プロセスガスの第2の流れを、前記第2プロセスガスを前記第2処理スペースに横断方向に向ける第4排出口に前記第2ガス送出導管を介して送出する段階と、
を含む請求項50に記載の方法。 - 微細形状ワークピース上に材料を堆積させる方法であって、
離間した状態で第1、第2、及び第3微細形状ワークピースを支持して、前記第1及び第2微細形状ワークピースの間に第1処理スペースを定め且つ前記第2及び第3微細形状ワークピースの間に第2処理スペースを定める微細形状ワークピースホルダーをプロセスチャンバ内に位置付ける段階と、
前記プロセスチャンバに第1前駆体ガスを送出して、ある量の前記第1前駆体ガスを前記第1、第2、及び第3微細形状ワークピースの各々の表面上に堆積させる段階と、
ガス分配器を保持する前記微細形状ワークピースホルダーに対して、前記ガス分配器がパージガスの第1の流れを前記第1処理スペースに横断方向に送出し、且つ前記パージガスの第2の流れを前記第2処理スペースに横断方向に送出するように前記パージガスを送出する段階と、
前記量の第1前駆体ガスに反応して前記第1、第2、及び第3微細形状ワークピースの表面上に材料の層を形成する第2前駆体ガスを前記プロセスチャンバに送出する段階と、
前記プロセスチャンバから前記微細形状ワークピースホルダー及び前記微細形状ワークピースを除去する段階と、
を含む方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/646,607 US7235138B2 (en) | 2003-08-21 | 2003-08-21 | Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces |
PCT/US2004/027012 WO2005021831A1 (en) | 2003-08-21 | 2004-08-18 | Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2007503126A true JP2007503126A (ja) | 2007-02-15 |
Family
ID=34194571
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006524064A Pending JP2007503126A (ja) | 2003-08-21 | 2004-08-18 | 微細形状ワークピース上の材料のバッチ堆積のための微細形状ワークピース処理装置及び方法 |
Country Status (9)
Country | Link |
---|---|
US (2) | US7235138B2 (ja) |
EP (1) | EP1660698B1 (ja) |
JP (1) | JP2007503126A (ja) |
KR (1) | KR100943163B1 (ja) |
CN (1) | CN100537843C (ja) |
AT (1) | ATE397679T1 (ja) |
DE (1) | DE602004014284D1 (ja) |
TW (1) | TWI404821B (ja) |
WO (1) | WO2005021831A1 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101490779B1 (ko) | 2007-10-23 | 2015-02-09 | 소이텍 | 기판의 분리 방법 |
JP2017069330A (ja) * | 2015-09-29 | 2017-04-06 | 株式会社日立国際電気 | 半導体装置の製造方法、ガス供給方法及び基板処理装置並びに基板保持具 |
US11961715B2 (en) | 2018-09-12 | 2024-04-16 | Kokusai Electric Corporation | Substrate processing apparatus, substrate retainer and method of manufacturing semiconductor device |
Families Citing this family (43)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6670071B2 (en) * | 2002-01-15 | 2003-12-30 | Quallion Llc | Electric storage battery construction and method of manufacture |
US6821347B2 (en) * | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
US6955725B2 (en) * | 2002-08-15 | 2005-10-18 | Micron Technology, Inc. | Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces |
US7335396B2 (en) * | 2003-04-24 | 2008-02-26 | Micron Technology, Inc. | Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers |
US7344755B2 (en) * | 2003-08-21 | 2008-03-18 | Micron Technology, Inc. | Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers |
US7235138B2 (en) * | 2003-08-21 | 2007-06-26 | Micron Technology, Inc. | Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces |
US7422635B2 (en) * | 2003-08-28 | 2008-09-09 | Micron Technology, Inc. | Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces |
US7056806B2 (en) * | 2003-09-17 | 2006-06-06 | Micron Technology, Inc. | Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces |
US7323231B2 (en) * | 2003-10-09 | 2008-01-29 | Micron Technology, Inc. | Apparatus and methods for plasma vapor deposition processes |
US7581511B2 (en) * | 2003-10-10 | 2009-09-01 | Micron Technology, Inc. | Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes |
US7647886B2 (en) * | 2003-10-15 | 2010-01-19 | Micron Technology, Inc. | Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers |
US7258892B2 (en) | 2003-12-10 | 2007-08-21 | Micron Technology, Inc. | Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition |
US20050133158A1 (en) * | 2003-12-19 | 2005-06-23 | Applied Materials, Inc. | Mask handler apparatus |
KR101025323B1 (ko) * | 2004-01-13 | 2011-03-29 | 가부시키가이샤 아루박 | 에칭 장치 및 에칭 방법 |
US7906393B2 (en) | 2004-01-28 | 2011-03-15 | Micron Technology, Inc. | Methods for forming small-scale capacitor structures |
US20050249873A1 (en) * | 2004-05-05 | 2005-11-10 | Demetrius Sarigiannis | Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices |
US8133554B2 (en) | 2004-05-06 | 2012-03-13 | Micron Technology, Inc. | Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces |
US7699932B2 (en) * | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
US20060165873A1 (en) * | 2005-01-25 | 2006-07-27 | Micron Technology, Inc. | Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes |
US8211235B2 (en) * | 2005-03-04 | 2012-07-03 | Picosun Oy | Apparatuses and methods for deposition of material on surfaces |
US20060237138A1 (en) * | 2005-04-26 | 2006-10-26 | Micron Technology, Inc. | Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes |
US7407892B2 (en) * | 2005-05-11 | 2008-08-05 | Micron Technology, Inc. | Deposition methods |
US10041169B2 (en) | 2008-05-27 | 2018-08-07 | Picosun Oy | System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor |
US8282334B2 (en) | 2008-08-01 | 2012-10-09 | Picosun Oy | Atomic layer deposition apparatus and loading methods |
KR101071937B1 (ko) * | 2009-08-10 | 2011-10-11 | 이승룡 | 질소가스 분사장치 |
TW201139712A (en) * | 2010-05-12 | 2011-11-16 | Hon Hai Prec Ind Co Ltd | Sputtering device |
CN102242344A (zh) * | 2010-05-13 | 2011-11-16 | 鸿富锦精密工业(深圳)有限公司 | 溅镀装置 |
KR101223489B1 (ko) * | 2010-06-30 | 2013-01-17 | 삼성디스플레이 주식회사 | 기판 가공 장치 |
TWI520177B (zh) * | 2010-10-26 | 2016-02-01 | Hitachi Int Electric Inc | 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體 |
KR101971613B1 (ko) * | 2011-09-27 | 2019-04-24 | 엘지이노텍 주식회사 | 증착 장치 |
US9493874B2 (en) * | 2012-11-15 | 2016-11-15 | Cypress Semiconductor Corporation | Distribution of gas over a semiconductor wafer in batch processing |
KR101682473B1 (ko) * | 2013-10-18 | 2016-12-05 | 삼성전자주식회사 | 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비 |
CN103668125A (zh) * | 2013-12-31 | 2014-03-26 | 武汉工程大学 | 一种适用于管状等离子体薄膜沉积装置中的基片台 |
CN104046960B (zh) * | 2014-06-24 | 2016-08-17 | 北京七星华创电子股份有限公司 | 一种应用于薄膜沉积技术的气体分配器 |
KR20160026572A (ko) | 2014-09-01 | 2016-03-09 | 삼성전자주식회사 | 기판 처리 장치 |
KR101688620B1 (ko) * | 2015-12-24 | 2016-12-21 | 피코앤테라(주) | 웨이퍼 수납용기 |
WO2018022137A1 (en) * | 2016-07-28 | 2018-02-01 | Applied Materials, Inc. | Gas purge system and method for outgassing control |
US10256126B2 (en) * | 2016-09-22 | 2019-04-09 | Globalfoundries Inc. | Gas flow process control system and method using crystal microbalance(s) |
CN117810127A (zh) * | 2017-02-23 | 2024-04-02 | 株式会社国际电气 | 基板处理装置、半导体装置的制造方法、基板处理方法、容器及存储介质 |
DE102018120580A1 (de) * | 2018-08-23 | 2020-02-27 | Infineon Technologies Ag | Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck |
KR20200038184A (ko) * | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
KR102680412B1 (ko) * | 2018-11-27 | 2024-07-02 | 삼성전자주식회사 | 반도체 처리 장치 및 반도체 처리 시스템 |
TW202307260A (zh) * | 2021-06-30 | 2023-02-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積膜的化學氣相沉積爐以及方法 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07176490A (ja) * | 1993-12-21 | 1995-07-14 | Seiko Epson Corp | Cvd装置 |
JP2002353208A (ja) * | 2001-05-28 | 2002-12-06 | Fujitsu Ltd | 半導体装置の製造方法及び製造装置 |
Family Cites Families (200)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US579269A (en) | 1897-03-23 | Roller-bearing | ||
FR1500185A (fr) | 1966-08-08 | 1967-11-03 | Ct De Rech S Du Fer Blanc | Procédé d'étamage électrolytique d'un feuillard d'acier |
GB1260300A (en) | 1968-04-24 | 1972-01-12 | Plessey Co Ltd | IMPROVEMENTS IN OR RELATING TO THE PRODUCTION OF VAPOUR-DEPOSITED Nb3Sn CONDUCTOR MATERIAL |
US3618919A (en) | 1969-11-03 | 1971-11-09 | Btu Eng Corp | Adjustable heat and gas barrier |
US3630881A (en) | 1970-01-22 | 1971-12-28 | Ibm | Cathode-target assembly for rf sputtering apparatus |
US3634212A (en) | 1970-05-06 | 1972-01-11 | M & T Chemicals Inc | Electrodeposition of bright acid tin and electrolytes therefor |
US4018949A (en) | 1976-01-12 | 1977-04-19 | Ford Motor Company | Selective tin deposition onto aluminum piston skirt areas |
US4289061A (en) | 1977-10-03 | 1981-09-15 | Hooker Chemicals & Plastics Corp. | Device and assembly for mounting parts |
US4242182A (en) | 1978-07-21 | 1980-12-30 | Francine Popescu | Bright tin electroplating bath |
NL184695C (nl) | 1978-12-04 | 1989-10-02 | Philips Nv | Bad voor het stroomloos neerslaan van tin op substraten. |
US4313783A (en) | 1980-05-19 | 1982-02-02 | Branson International Plasma Corporation | Computer controlled system for processing semiconductor wafers |
JPS5747706A (en) | 1980-09-04 | 1982-03-18 | Toshio Hirai | Lump of silicon nitride containing ti and its manufacture |
US4545136A (en) | 1981-03-16 | 1985-10-08 | Sovonics Solar Systems | Isolation valve |
DD206687A3 (de) * | 1981-07-28 | 1984-02-01 | Mikroelektronik Zt Forsch Tech | Verfahren und vorrichtung zur gasfuehrung fuer lp cvd prozesse in einem rohrreaktor |
US4826579A (en) | 1982-06-25 | 1989-05-02 | Cel Systems Corporation | Electrolytic preparation of tin and other metals |
US4438724A (en) | 1982-08-13 | 1984-03-27 | Energy Conversion Devices, Inc. | Grooved gas gate |
US4397753A (en) | 1982-09-20 | 1983-08-09 | Circuit Chemistry Corporation | Solder stripping solution |
US4593644A (en) | 1983-10-26 | 1986-06-10 | Rca Corporation | Continuous in-line deposition system |
US4590042A (en) | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
US5769950A (en) | 1985-07-23 | 1998-06-23 | Canon Kabushiki Kaisha | Device for forming deposited film |
US4681777A (en) | 1986-05-05 | 1987-07-21 | Engelken Robert D | Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive substrates |
US4966646A (en) | 1986-09-24 | 1990-10-30 | Board Of Trustees Of Leland Stanford University | Method of making an integrated, microminiature electric-to-fluidic valve |
JPH01108378A (ja) * | 1987-10-21 | 1989-04-25 | Mitsubishi Electric Corp | スパツタ装置 |
JPH0668962B2 (ja) | 1987-12-21 | 1994-08-31 | 株式会社東芝 | 真空装置及びそれを用いてプロセスを行う方法 |
DE3830249A1 (de) | 1988-09-06 | 1990-03-15 | Schott Glaswerke | Plasmaverfahren zum beschichten ebener substrate |
US4949669A (en) | 1988-12-20 | 1990-08-21 | Texas Instruments Incorporated | Gas flow systems in CCVD reactors |
US5076205A (en) | 1989-01-06 | 1991-12-31 | General Signal Corporation | Modular vapor processor system |
US4911638A (en) * | 1989-05-18 | 1990-03-27 | Direction Incorporated | Controlled diffusion environment capsule and system |
JP2888253B2 (ja) | 1989-07-20 | 1999-05-10 | 富士通株式会社 | 化学気相成長法およびその実施のための装置 |
US5090985A (en) * | 1989-10-17 | 1992-02-25 | Libbey-Owens-Ford Co. | Method for preparing vaporized reactants for chemical vapor deposition |
JP2703813B2 (ja) | 1989-11-13 | 1998-01-26 | 昭和電工株式会社 | 流動層型気相重合装置のガス分散板 |
US5020476A (en) * | 1990-04-17 | 1991-06-04 | Ds Research, Inc. | Distributed source assembly |
US4977106A (en) | 1990-05-01 | 1990-12-11 | Texas Instruments Incorporated | Tin chemical vapor deposition using TiCl4 and SiH4 |
US5136975A (en) | 1990-06-21 | 1992-08-11 | Watkins-Johnson Company | Injector and method for delivering gaseous chemicals to a surface |
US5131752A (en) | 1990-06-28 | 1992-07-21 | Tamarack Scientific Co., Inc. | Method for film thickness endpoint control |
US5223113A (en) | 1990-07-20 | 1993-06-29 | Tokyo Electron Limited | Apparatus for forming reduced pressure and for processing object |
US5325020A (en) | 1990-09-28 | 1994-06-28 | Abtox, Inc. | Circular waveguide plasma microwave sterilizer apparatus |
EP0493119B1 (en) | 1990-12-28 | 1994-08-17 | Hokkai Can Co., Ltd. | Welded cans |
US5286296A (en) * | 1991-01-10 | 1994-02-15 | Sony Corporation | Multi-chamber wafer process equipment having plural, physically communicating transfer means |
JP2787142B2 (ja) | 1991-03-01 | 1998-08-13 | 上村工業 株式会社 | 無電解錫、鉛又はそれらの合金めっき方法 |
US5232749A (en) | 1991-04-30 | 1993-08-03 | Micron Technology, Inc. | Formation of self-limiting films by photoemission induced vapor deposition |
JP3039583B2 (ja) | 1991-05-30 | 2000-05-08 | 株式会社日立製作所 | バルブ及びそれを用いた半導体製造装置 |
JPH081923B2 (ja) | 1991-06-24 | 1996-01-10 | ティーディーケイ株式会社 | クリーン搬送方法及び装置 |
JP3238432B2 (ja) | 1991-08-27 | 2001-12-17 | 東芝機械株式会社 | マルチチャンバ型枚葉処理装置 |
US5200023A (en) | 1991-08-30 | 1993-04-06 | International Business Machines Corp. | Infrared thermographic method and apparatus for etch process monitoring and control |
US5172849A (en) | 1991-09-25 | 1992-12-22 | General Motors Corporation | Method and apparatus for convection brazing of aluminum heat exchangers |
JP2989063B2 (ja) | 1991-12-12 | 1999-12-13 | キヤノン株式会社 | 薄膜形成装置および薄膜形成方法 |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
US5932286A (en) | 1993-03-16 | 1999-08-03 | Applied Materials, Inc. | Deposition of silicon nitride thin films |
US5377429A (en) | 1993-04-19 | 1995-01-03 | Micron Semiconductor, Inc. | Method and appartus for subliming precursors |
US5592581A (en) | 1993-07-19 | 1997-01-07 | Tokyo Electron Kabushiki Kaisha | Heat treatment apparatus |
US5626936A (en) | 1993-09-09 | 1997-05-06 | Energy Pillow, Inc. | Phase change insulation system |
US5427666A (en) | 1993-09-09 | 1995-06-27 | Applied Materials, Inc. | Method for in-situ cleaning a Ti target in a Ti + TiN coating process |
JP3394293B2 (ja) | 1993-09-20 | 2003-04-07 | 株式会社日立製作所 | 試料の搬送方法および半導体装置の製造方法 |
US5433835B1 (en) | 1993-11-24 | 1997-05-20 | Applied Materials Inc | Sputtering device and target with cover to hold cooling fluid |
KR950020993A (ko) | 1993-12-22 | 1995-07-26 | 김광호 | 반도체 제조장치 |
FI95421C (fi) * | 1993-12-23 | 1996-01-25 | Heikki Ihantola | Puolijohteen, kuten piikiekon, prosessoinnissa käytettävä laitteisto ja menetelmä |
US5589002A (en) | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
US5522934A (en) | 1994-04-26 | 1996-06-04 | Tokyo Electron Limited | Plasma processing apparatus using vertical gas inlets one on top of another |
KR960002534A (ko) | 1994-06-07 | 1996-01-26 | 이노우에 아키라 | 감압·상압 처리장치 |
US5418180A (en) | 1994-06-14 | 1995-05-23 | Micron Semiconductor, Inc. | Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon |
JPH088194A (ja) | 1994-06-16 | 1996-01-12 | Kishimoto Sangyo Kk | 気相成長機構および熱処理機構における加熱装置 |
CN1043477C (zh) | 1994-06-24 | 1999-05-26 | 日新制钢株式会社 | 使用含有氢气的炉内气体介质的热处理炉的密封装置 |
JP3468859B2 (ja) | 1994-08-16 | 2003-11-17 | 富士通株式会社 | 気相処理装置及び気相処理方法 |
US5643394A (en) | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
JP3473715B2 (ja) * | 1994-09-30 | 2003-12-08 | 信越半導体株式会社 | 石英ガラス製ウェーハボート |
JP3417751B2 (ja) | 1995-02-13 | 2003-06-16 | 株式会社東芝 | 半導体装置の製造方法 |
JP3360098B2 (ja) | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
JP3246708B2 (ja) | 1995-05-02 | 2002-01-15 | 東京エレクトロン株式会社 | トラップ装置及びこれを用いた未反応処理ガス排気機構 |
US5654589A (en) | 1995-06-06 | 1997-08-05 | Advanced Micro Devices, Incorporated | Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application |
US5885425A (en) * | 1995-06-06 | 1999-03-23 | International Business Machines Corporation | Method for selective material deposition on one side of raised or recessed features |
US5640751A (en) | 1995-07-17 | 1997-06-24 | Thermionics Laboratories, Inc. | Vacuum flange |
US6194628B1 (en) * | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Method and apparatus for cleaning a vacuum line in a CVD system |
US6193802B1 (en) * | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US5997588A (en) | 1995-10-13 | 1999-12-07 | Advanced Semiconductor Materials America, Inc. | Semiconductor processing system with gas curtain |
US5801104A (en) | 1995-10-24 | 1998-09-01 | Micron Technology, Inc. | Uniform dielectric film deposition on textured surfaces |
US5536317A (en) | 1995-10-27 | 1996-07-16 | Specialty Coating Systems, Inc. | Parylene deposition apparatus including a quartz crystal thickness/rate controller |
US5792269A (en) | 1995-10-31 | 1998-08-11 | Applied Materials, Inc. | Gas distribution for CVD systems |
JP3768575B2 (ja) | 1995-11-28 | 2006-04-19 | アプライド マテリアルズ インコーポレイテッド | Cvd装置及びチャンバ内のクリーニングの方法 |
US5956613A (en) | 1995-12-27 | 1999-09-21 | Lsi Logic Corporation | Method for improvement of TiN CVD film quality |
US6663713B1 (en) * | 1996-01-08 | 2003-12-16 | Applied Materials Inc. | Method and apparatus for forming a thin polymer layer on an integrated circuit structure |
US5908947A (en) | 1996-02-09 | 1999-06-01 | Micron Technology, Inc. | Difunctional amino precursors for the deposition of films comprising metals |
US5820641A (en) | 1996-02-09 | 1998-10-13 | Mks Instruments, Inc. | Fluid cooled trap |
US5895530A (en) | 1996-02-26 | 1999-04-20 | Applied Materials, Inc. | Method and apparatus for directing fluid through a semiconductor processing chamber |
JP3386651B2 (ja) * | 1996-04-03 | 2003-03-17 | 株式会社東芝 | 半導体装置の製造方法および半導体製造装置 |
US6070551A (en) | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
US5792700A (en) | 1996-05-31 | 1998-08-11 | Micron Technology, Inc. | Semiconductor processing method for providing large grain polysilicon films |
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5746434A (en) | 1996-07-09 | 1998-05-05 | Lam Research Corporation | Chamber interfacing O-rings and method for implementing same |
US5868159A (en) * | 1996-07-12 | 1999-02-09 | Mks Instruments, Inc. | Pressure-based mass flow controller |
JP3310171B2 (ja) | 1996-07-17 | 2002-07-29 | 松下電器産業株式会社 | プラズマ処理装置 |
US5866986A (en) | 1996-08-05 | 1999-02-02 | Integrated Electronic Innovations, Inc. | Microwave gas phase plasma source |
US5788778A (en) | 1996-09-16 | 1998-08-04 | Applied Komatsu Technology, Inc. | Deposition chamber cleaning technique using a high power remote excitation source |
US5865417A (en) | 1996-09-27 | 1999-02-02 | Redwood Microsystems, Inc. | Integrated electrically operable normally closed valve |
US5729896A (en) | 1996-10-31 | 1998-03-24 | International Business Machines Corporation | Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder |
US5968587A (en) | 1996-11-13 | 1999-10-19 | Applied Materials, Inc. | Systems and methods for controlling the temperature of a vapor deposition apparatus |
EP0854210B1 (en) | 1996-12-19 | 2002-03-27 | Toshiba Ceramics Co., Ltd. | Vapor deposition apparatus for forming thin film |
US5833888A (en) | 1996-12-31 | 1998-11-10 | Atmi Ecosys Corporation | Weeping weir gas/liquid interface structure |
US5846275A (en) | 1996-12-31 | 1998-12-08 | Atmi Ecosys Corporation | Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system |
US5827370A (en) | 1997-01-13 | 1998-10-27 | Mks Instruments, Inc. | Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace |
US6062256A (en) | 1997-02-11 | 2000-05-16 | Engineering Measurements Company | Micro mass flow control apparatus and method |
US6328803B2 (en) * | 1997-02-21 | 2001-12-11 | Micron Technology, Inc. | Method and apparatus for controlling rate of pressure change in a vacuum process chamber |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US5994181A (en) | 1997-05-19 | 1999-11-30 | United Microelectronics Corp. | Method for forming a DRAM cell electrode |
US5851849A (en) | 1997-05-22 | 1998-12-22 | Lucent Technologies Inc. | Process for passivating semiconductor laser structures with severe steps in surface topography |
US6706334B1 (en) * | 1997-06-04 | 2004-03-16 | Tokyo Electron Limited | Processing method and apparatus for removing oxide film |
US5846330A (en) | 1997-06-26 | 1998-12-08 | Celestech, Inc. | Gas injection disc assembly for CVD applications |
US6079426A (en) | 1997-07-02 | 2000-06-27 | Applied Materials, Inc. | Method and apparatus for determining the endpoint in a plasma cleaning process |
US6113698A (en) * | 1997-07-10 | 2000-09-05 | Applied Materials, Inc. | Degassing method and apparatus |
US6045620A (en) | 1997-07-11 | 2000-04-04 | Applied Materials, Inc. | Two-piece slit valve insert for vacuum processing system |
US6534007B1 (en) * | 1997-08-01 | 2003-03-18 | Applied Komatsu Technology, Inc. | Method and apparatus for detecting the endpoint of a chamber cleaning |
US20030049372A1 (en) * | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
US6080446A (en) | 1997-08-21 | 2000-06-27 | Anelva Corporation | Method of depositing titanium nitride thin film and CVD deposition apparatus |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
US6099904A (en) * | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
WO1999029923A1 (en) | 1997-12-05 | 1999-06-17 | Tegal Corporation | Plasma reactor with a deposition shield |
US6099649A (en) * | 1997-12-23 | 2000-08-08 | Applied Materials, Inc. | Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal |
US6841203B2 (en) * | 1997-12-24 | 2005-01-11 | Tokyo Electron Limited | Method of forming titanium film by CVD |
JP3567070B2 (ja) * | 1997-12-27 | 2004-09-15 | 東京エレクトロン株式会社 | 熱処理装置及び熱処理方法 |
KR100269328B1 (ko) * | 1997-12-31 | 2000-10-16 | 윤종용 | 원자층 증착 공정을 이용하는 도전층 형성방법 |
KR100524204B1 (ko) * | 1998-01-07 | 2006-01-27 | 동경 엘렉트론 주식회사 | 가스 처리장치 |
US6032923A (en) | 1998-01-08 | 2000-03-07 | Xerox Corporation | Fluid valves having cantilevered blocking films |
JP3643474B2 (ja) * | 1998-01-30 | 2005-04-27 | 株式会社東芝 | 半導体処理システム及び半導体処理システムの使用方法 |
US6022483A (en) | 1998-03-10 | 2000-02-08 | Intergrated Systems, Inc. | System and method for controlling pressure |
WO1999059196A1 (en) * | 1998-05-11 | 1999-11-18 | Semitool, Inc. | Temperature control system for a thermal reactor |
JP3813741B2 (ja) * | 1998-06-04 | 2006-08-23 | 尚久 後藤 | プラズマ処理装置 |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6192827B1 (en) * | 1998-07-03 | 2001-02-27 | Applied Materials, Inc. | Double slit-valve doors for plasma processing |
US6358323B1 (en) * | 1998-07-21 | 2002-03-19 | Applied Materials, Inc. | Method and apparatus for improved control of process and purge material in a substrate processing system |
JP3830670B2 (ja) * | 1998-09-03 | 2006-10-04 | 三菱電機株式会社 | 半導体製造装置 |
US6143078A (en) * | 1998-11-13 | 2000-11-07 | Applied Materials, Inc. | Gas distribution system for a CVD processing chamber |
JP3619032B2 (ja) * | 1998-11-13 | 2005-02-09 | シーケーディ株式会社 | 真空圧力制御弁 |
US6383300B1 (en) * | 1998-11-27 | 2002-05-07 | Tokyo Electron Ltd. | Heat treatment apparatus and cleaning method of the same |
KR100331544B1 (ko) * | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
US6347918B1 (en) * | 1999-01-27 | 2002-02-19 | Applied Materials, Inc. | Inflatable slit/gate valve |
US6197119B1 (en) * | 1999-02-18 | 2001-03-06 | Mks Instruments, Inc. | Method and apparatus for controlling polymerized teos build-up in vacuum pump lines |
JP2000256856A (ja) * | 1999-03-11 | 2000-09-19 | Tokyo Electron Ltd | 処理装置及び処理装置用真空排気システム及び減圧cvd装置及び減圧cvd装置用真空排気システム及びトラップ装置 |
US6173673B1 (en) * | 1999-03-31 | 2001-01-16 | Tokyo Electron Limited | Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber |
KR100347379B1 (ko) | 1999-05-01 | 2002-08-07 | 주식회사 피케이엘 | 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치 |
US6364219B1 (en) * | 1999-06-11 | 2002-04-02 | Larami Limited | Bladder water gun with shaped stream discharge orifices |
US6415736B1 (en) * | 1999-06-30 | 2002-07-09 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6200415B1 (en) * | 1999-06-30 | 2001-03-13 | Lam Research Corporation | Load controlled rapid assembly clamp ring |
US6294394B1 (en) * | 1999-07-01 | 2001-09-25 | Voyan Technology | Ramp rate limiter to control stress during ramping |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
US6178660B1 (en) * | 1999-08-03 | 2001-01-30 | International Business Machines Corporation | Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer |
JP2001077088A (ja) * | 1999-09-02 | 2001-03-23 | Tokyo Electron Ltd | プラズマ処理装置 |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
RU2158324C1 (ru) * | 1999-11-02 | 2000-10-27 | Закрытое акционерное общество "Панджшер-Холдинг" | Способ изготовления исходного поликристаллического кремния в виде пластин с большой площадью поверхности и камера для осаждения кремния |
US6705345B1 (en) * | 1999-11-08 | 2004-03-16 | The Trustees Of Boston University | Micro valve arrays for fluid flow control |
US6503330B1 (en) * | 1999-12-22 | 2003-01-07 | Genus, Inc. | Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition |
US6191399B1 (en) * | 2000-02-01 | 2001-02-20 | Asm America, Inc. | System of controlling the temperature of a processing chamber |
US6277937B1 (en) * | 2000-02-17 | 2001-08-21 | Dupont Dow Elastomers, L.L.C. | Process for producing fluorelastomers |
DE60125338T2 (de) * | 2000-03-07 | 2007-07-05 | Asm International N.V. | Gradierte dünne schichten |
KR100360401B1 (ko) * | 2000-03-17 | 2002-11-13 | 삼성전자 주식회사 | 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치 |
JP2001274107A (ja) * | 2000-03-28 | 2001-10-05 | Nec Kyushu Ltd | 拡散炉 |
US7253076B1 (en) * | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
JP4371543B2 (ja) * | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US6506254B1 (en) * | 2000-06-30 | 2003-01-14 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
JP4357715B2 (ja) * | 2000-07-24 | 2009-11-04 | 東京エレクトロン株式会社 | 熱処理装置の温度校正方法 |
US6602346B1 (en) * | 2000-08-22 | 2003-08-05 | Novellus Systems, Inc. | Gas-purged vacuum valve |
CN1278386C (zh) * | 2000-09-29 | 2006-10-04 | 东京毅力科创株式会社 | 热处理装置和热处理方法 |
US6355561B1 (en) * | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
US6689220B1 (en) * | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
US6770145B2 (en) * | 2000-12-11 | 2004-08-03 | Tanaka Kikinzoku Kogyo K.K. | Low-pressure CVD apparatus and method of manufacturing a thin film |
US6346477B1 (en) * | 2001-01-09 | 2002-02-12 | Research Foundation Of Suny - New York | Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt |
US6514870B2 (en) * | 2001-01-26 | 2003-02-04 | Applied Materials, Inc. | In situ wafer heat for reduced backside contamination |
US6613656B2 (en) * | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
KR100384558B1 (ko) * | 2001-02-22 | 2003-05-22 | 삼성전자주식회사 | 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법 |
US6886491B2 (en) * | 2001-03-19 | 2005-05-03 | Apex Co. Ltd. | Plasma chemical vapor deposition apparatus |
US20030027428A1 (en) * | 2001-07-18 | 2003-02-06 | Applied Materials, Inc. | Bypass set up for integration of remote optical endpoint for CVD chamber |
US7085616B2 (en) * | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6435865B1 (en) * | 2001-07-30 | 2002-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for positioning gas injectors in a vertical furnace |
JP2003045864A (ja) * | 2001-08-02 | 2003-02-14 | Hitachi Kokusai Electric Inc | 基板処理装置 |
KR100431657B1 (ko) * | 2001-09-25 | 2004-05-17 | 삼성전자주식회사 | 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치 |
US6686594B2 (en) * | 2001-10-29 | 2004-02-03 | Air Products And Chemicals, Inc. | On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring |
US20050039880A1 (en) * | 2001-12-26 | 2005-02-24 | Scott Alexander Robin Walter | Computer cooling apparatus |
KR100829327B1 (ko) * | 2002-04-05 | 2008-05-13 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반응 용기 |
US6845734B2 (en) * | 2002-04-11 | 2005-01-25 | Micron Technology, Inc. | Deposition apparatuses configured for utilizing phased microwave radiation |
US6814813B2 (en) * | 2002-04-24 | 2004-11-09 | Micron Technology, Inc. | Chemical vapor deposition apparatus |
US6858264B2 (en) * | 2002-04-24 | 2005-02-22 | Micron Technology, Inc. | Chemical vapor deposition methods |
US6861094B2 (en) * | 2002-04-25 | 2005-03-01 | Micron Technology, Inc. | Methods for forming thin layers of materials on micro-device workpieces |
US6838114B2 (en) * | 2002-05-24 | 2005-01-04 | Micron Technology, Inc. | Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces |
US7118783B2 (en) * | 2002-06-26 | 2006-10-10 | Micron Technology, Inc. | Methods and apparatus for vapor processing of micro-device workpieces |
US6821347B2 (en) * | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
US6955725B2 (en) * | 2002-08-15 | 2005-10-18 | Micron Technology, Inc. | Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces |
US20040040503A1 (en) * | 2002-08-29 | 2004-03-04 | Micron Technology, Inc. | Micromachines for delivering precursors and gases for film deposition |
US20040040502A1 (en) * | 2002-08-29 | 2004-03-04 | Micron Technology, Inc. | Micromachines for delivering precursors and gases for film deposition |
US6849131B2 (en) * | 2002-10-05 | 2005-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd | Truncated dummy plate for process furnace |
US6926775B2 (en) * | 2003-02-11 | 2005-08-09 | Micron Technology, Inc. | Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces |
US6818249B2 (en) * | 2003-03-03 | 2004-11-16 | Micron Technology, Inc. | Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces |
EP1613792B1 (en) * | 2003-03-14 | 2014-01-01 | Genus, Inc. | Methods and apparatus for atomic layer deposition |
US8580076B2 (en) * | 2003-05-22 | 2013-11-12 | Lam Research Corporation | Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith |
US20050020476A1 (en) * | 2003-06-12 | 2005-01-27 | The Procter & Gamble Company | Softening-through-the-wash composition and process of manufacture |
US20050017404A1 (en) * | 2003-07-21 | 2005-01-27 | Youngs John D. | Method of molding a vehicle trim component |
US7235138B2 (en) * | 2003-08-21 | 2007-06-26 | Micron Technology, Inc. | Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces |
US20050048742A1 (en) * | 2003-08-26 | 2005-03-03 | Tokyo Electron Limited | Multiple grow-etch cyclic surface treatment for substrate preparation |
US7422635B2 (en) * | 2003-08-28 | 2008-09-09 | Micron Technology, Inc. | Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces |
US7056806B2 (en) * | 2003-09-17 | 2006-06-06 | Micron Technology, Inc. | Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces |
US7282239B2 (en) * | 2003-09-18 | 2007-10-16 | Micron Technology, Inc. | Systems and methods for depositing material onto microfeature workpieces in reaction chambers |
-
2003
- 2003-08-21 US US10/646,607 patent/US7235138B2/en not_active Expired - Fee Related
-
2004
- 2004-08-18 AT AT04781653T patent/ATE397679T1/de not_active IP Right Cessation
- 2004-08-18 JP JP2006524064A patent/JP2007503126A/ja active Pending
- 2004-08-18 KR KR1020067003532A patent/KR100943163B1/ko not_active IP Right Cessation
- 2004-08-18 EP EP04781653A patent/EP1660698B1/en not_active Expired - Lifetime
- 2004-08-18 DE DE602004014284T patent/DE602004014284D1/de not_active Expired - Lifetime
- 2004-08-18 CN CNB2004800289815A patent/CN100537843C/zh not_active Expired - Fee Related
- 2004-08-18 WO PCT/US2004/027012 patent/WO2005021831A1/en active Search and Examination
- 2004-08-20 TW TW093125207A patent/TWI404821B/zh not_active IP Right Cessation
-
2006
- 2006-05-03 US US11/416,866 patent/US20060198955A1/en not_active Abandoned
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07176490A (ja) * | 1993-12-21 | 1995-07-14 | Seiko Epson Corp | Cvd装置 |
JP2002353208A (ja) * | 2001-05-28 | 2002-12-06 | Fujitsu Ltd | 半導体装置の製造方法及び製造装置 |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101490779B1 (ko) | 2007-10-23 | 2015-02-09 | 소이텍 | 기판의 분리 방법 |
JP2017069330A (ja) * | 2015-09-29 | 2017-04-06 | 株式会社日立国際電気 | 半導体装置の製造方法、ガス供給方法及び基板処理装置並びに基板保持具 |
US11961715B2 (en) | 2018-09-12 | 2024-04-16 | Kokusai Electric Corporation | Substrate processing apparatus, substrate retainer and method of manufacturing semiconductor device |
Also Published As
Publication number | Publication date |
---|---|
US20050039686A1 (en) | 2005-02-24 |
TW200523393A (en) | 2005-07-16 |
EP1660698B1 (en) | 2008-06-04 |
CN100537843C (zh) | 2009-09-09 |
EP1660698A1 (en) | 2006-05-31 |
CN1863939A (zh) | 2006-11-15 |
US7235138B2 (en) | 2007-06-26 |
WO2005021831A1 (en) | 2005-03-10 |
DE602004014284D1 (de) | 2008-07-17 |
KR20060121814A (ko) | 2006-11-29 |
KR100943163B1 (ko) | 2010-02-22 |
TWI404821B (zh) | 2013-08-11 |
ATE397679T1 (de) | 2008-06-15 |
US20060198955A1 (en) | 2006-09-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2007503126A (ja) | 微細形状ワークピース上の材料のバッチ堆積のための微細形状ワークピース処理装置及び方法 | |
US7422635B2 (en) | Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces | |
US6821347B2 (en) | Apparatus and method for depositing materials onto microelectronic workpieces | |
US6884296B2 (en) | Reactors having gas distributors and methods for depositing materials onto micro-device workpieces | |
US6861094B2 (en) | Methods for forming thin layers of materials on micro-device workpieces | |
US20080029028A1 (en) | Systems and methods for depositing material onto microfeature workpieces in reaction chambers | |
US6926775B2 (en) | Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces | |
US7056806B2 (en) | Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces | |
KR101898388B1 (ko) | 가스 처리 장치, 가스 처리 방법 및 기억 매체 | |
JP2017226863A (ja) | ガス混合装置および基板処理装置 | |
KR101373828B1 (ko) | 균일한 가스 전달을 반응기에 제공하기 위한 방법 및 장치 | |
US6818249B2 (en) | Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces | |
US20040226507A1 (en) | Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers | |
US20050268856A1 (en) | Reactors, systems and methods for depositing thin films onto microfeature workpieces | |
WO2008067379A2 (en) | System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus | |
JP6770617B1 (ja) | 基板処理装置、半導体装置の製造方法及び基板保持具 | |
KR102225261B1 (ko) | 박막 증착 장치를 위한 유체 분배 디바이스, 관련 장치 및 방법 | |
US7584942B2 (en) | Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers | |
JP2020026550A (ja) | ガス処理装置及びガス処理方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20070621 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20100423 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100510 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20101018 |