JP2007043166A - 多層下部電極及び多層上部電極を含む強誘電体構造物及びそれの製造方法 - Google Patents

多層下部電極及び多層上部電極を含む強誘電体構造物及びそれの製造方法 Download PDF

Info

Publication number
JP2007043166A
JP2007043166A JP2006208855A JP2006208855A JP2007043166A JP 2007043166 A JP2007043166 A JP 2007043166A JP 2006208855 A JP2006208855 A JP 2006208855A JP 2006208855 A JP2006208855 A JP 2006208855A JP 2007043166 A JP2007043166 A JP 2007043166A
Authority
JP
Japan
Prior art keywords
electrode film
ferroelectric
upper electrode
lower electrode
iridium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006208855A
Other languages
English (en)
Inventor
Ji-Eun Lim
志▲ウン▼ 林
Dong-Chul Yoo
東哲 劉
丙才 ▲ベ▼
Byoung-Jae Bae
Dong-Hyun Im
桐賢 任
Suk-Pil Kim
錫必 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2007043166A publication Critical patent/JP2007043166A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Memories (AREA)

Abstract

【課題】向上された特性を有する強誘電体構造物及びそれの製造方法が開示される。
【解決手段】第1金属酸化物を用いて第1下部電極膜を形成した後、第1下部電極膜上に第2下部電極膜を形成する。第2下部電極膜は、第1金属、第1金属酸化物及び/または第1合金を用いて形成される。第2下部電極膜上に強誘電体層を形成した後、強誘電体層上に第2金属酸化物を用いて第1上部電極膜を形成する。第1上部電極膜上に第2合金を用いて第2上部電極膜を形成する。第1上部膜及び第2上部電極膜を含む強誘電体構造物の分極またはデータ保持力の向上、疲労抵抗の増加、センシングマージンの増加などのように強誘電的及び電気的特性を大きく改善することができ、このような強誘電体構造物を有する強誘電体キャパシタの強誘電体的及び電気的特性を著しく向上させることができる。
【選択図】図3

Description

本発明は、強誘電体構造物及びそれの製造方法に関わり、より詳細には、本発明は改善された強誘電的及び電気的特性を有する強誘電体構造物及びそれの製造方法に関する。
一般的に、半導体メモリ装置は、大きく揮発性半導体メモリ装置と不揮発性半導体メモリ装置に区分することができる。揮発性半導体メモリ装置はDRAM装置やSRAM装置のように電源供給が中断された場合に保存されたデータが喪失するメモリ装置である。これに対し、EPROM装置、EEPROM、及びフラッシュメモリ装置などの不揮発性半導体メモリ装置は、電源供給が中断されても保存されたデータを喪失しない装置である。前記揮発性メモリ装置の場合、データの揮発性によって使用に制限があり、前記不揮発性半導体メモリ装置の場合にもその集積度が低く、動作速度が遅く、高電圧を必要とする短所によってその使用が制限的である。前述した問題点を解決するために、強誘電性物質を用いた半導体メモリ装置に対する研究が活発に進行しつつある。
PZT[Pt(Zr,Ti)O]、SBT(SrBiTa)またはBLT[(Bi,La)TiO]などのような強誘電体は、印加される電界によって発生した分極が電界が除去された後にも残存し、このような分極の配列方向を印加される電界の方向に沿って変化させることができる物質を示す。前記強誘電体を用いたFRAM装置は強誘電体の二重安定的な分極状態を用いた不揮発性半導体装置に属する。前記FRAM装置はDRAM装置の誘電体を強誘電体に代替した構造を有することができ、電源が持続的に印加されなくても保存された情報を維持する特性を有する。また、前記FRAM装置は速い動作速度、低電圧における動作及び高い耐久性によって次世代不揮発性半導体メモリ装置として注目を浴びている。現在、強誘電性物質としてPZT[Pt(Zr,Ti)O]、SBT[Sr(Bi,Ti)O]またはBLT[Bi(La,Ti)O]などが活発に研究されている。
前述した強誘電体を含む強誘電体キャパシタは、特許文献1、特許文献2、特許文献3、Yamakawaなどに許与された特許文献4、またはFujikiなどに許与された特許文献5に開示されている。
図1は、前記特許文献2に開示した強誘電体キャパシタの断面図を示したものである。
図1を参照すると、従来の強誘電体キャパシタ60は、熱酸化膜10を有する半導体基板5上に形成される。強誘電体キャパシタ60は、下部電極25、強誘電体層45、及び上部電極57を含む。
下部電極25は、熱酸化膜10上に形成された第1イリジウム酸化物(IrOx)層15とイリジウム酸化物層15上に形成された白金(Pt)層20を具備する。下部電極25は、熱酸化膜10より小さい面積に形成される。
強誘電体層45は、白金層20上に順次形成された第1乃至第3PZT系物質層(30、35、40)を含む。第1乃至3PZT系物質層(30、35、40)は、それぞれスピンコーティング工程及び熱分解工程を通じて白金層20上に順序に積層される。強誘電体層45は、下部電極25より小さい面積を有する。
強誘電体層45上に形成された上部電極57は、ストロンチウムルテニウム酸化物(SrRuO;SRO)層50及び第2イリジウム酸化物層55を含む。ストロンチウムルテニウム酸化物層50と第2イリジウム酸化物層55は、強誘電体層45と同一の面積に形成される。上部電極57は、主にスパッタリング工程を用いて形成される。ストロンチウムルテニウム酸化物層50の結晶性を向上させると共に前記スパッタリング工程の期間に発生した損傷をキュアリングするために、上部電極57に対して約600℃の温度にて約1分間熱処理工程を行う。
図2は、図1に示した従来のキャパシタの上部電極に対する熱温度によるストレスを示すグラフである。図2において、“○”は第2イリジウム酸化物層55とPZT系強誘電体層45との間に発生するストレスを示し、“△”は第2イリジウム酸化物層55とストロンチウムルテニウム酸化物層50との間に発生するストレスを示す。
前述した従来の強誘電体キャパシタにおいて、図2に示したように約600℃の温度にて上部電極57を熱処理する間、第2イリジウム酸化物層55は、非常に強い圧縮応力を受けるようになる。したがって、第2イリジウム酸化物層55の下部のストロンチウムルテニウム酸化物層50とPZT系強誘電体層45は、相対的に大きい引張応力を受ける。このように、ストロンチウムルテニウム酸化物層50及びPZT系強誘電体層45に強い引張応力が発生した場合には、ストロンチウムルテニウム酸化物層50とPZT系強誘電体層45との間に酸素欠損の拡散などによる欠陥が発生する。これによって、PZT系強誘電体層45と上部電極57との間に強誘電的特性を有しない界面層が形成される。一般的に、デッドレイヤー(dead layer)ともいう前記界面層が上部電極57とPZT系強誘電体層45との間に形成される場合、強誘電体キャパシタ60の疲労特性の低下及びデータ保持特性の減少などのような強誘電的特性が劣化するという問題が発生する。また、前記界面層を通じて漏洩電流が発生することによって、強誘電体キャパシタ60の電気的な特性も低下する問題が発生する。
大韓民国公開特許第1998−28587号 大韓民国公開特許第2003−45631号 特開2002−270785号公報 米国特許第6,351,006号明細書 米国特許第6,194,228号明細書
本発明の目的は、改善された強誘電的及び電気的特性を有する強誘電体構造物及びそれの製造方法を提供することにある。
前述した本発明の目的を達成するために、本発明の望ましい実施例による強誘電体構造物は、第1下部電極膜及び第2下部電極膜を有する下部電極、強誘電体層、及び、第1上部電極膜及び第2上部電極膜を有する上部電極を含む。前記第1下部電極膜は、第1金属窒化物を含み、前記第2下部電極膜は、前記第1下部電極膜上に形成され、第1金属、第1金属酸化物及び/または第1合金を含む。例えば、前記第1下部電極膜は、チタニウム窒化物、アルミニウム窒化物、チタニウムアルミニウム窒化物、タンタル窒化物、タングステン窒化物、チタニウムシリコン窒化物またはタンタルシリコン窒化物を含む。これらは単独または混合して用いることができる。前記第2下部電極膜は、イリジウム、白金、ルテニウム、パラジウム、イリジウム酸化物、ルテニウム酸化物、ストロンチウムルテニウム酸化物またはイリジウムルテニウム合金を含む。例えば、前記第2下部電極膜は、前記第1金属、第1金属酸化物または前記第1合金で構成された単一膜の構造を有することができる。また、前記第2下部電極膜は、前記第1金属酸化物/前記第1金属で構成された二重膜構造を有することができる。前記強誘電体層は、前記第2下部電極膜上に形成される。例えば、前記誘電体層は、BaTiO、PZT、SBT、BLT、PLZT、またはBSTのような強誘電性物質を含む。また、前記強誘電体層は、カルシウム、ランタン、マンガン、またはビスマスがドープされた強誘電性物質を含むことができる。前記第1上部電極膜は、前記強誘電体層上に形成され、インジウムスズ酸化物、イリジウム酸化物、ストロンチウムルテニウム酸化物、ストロンチウムチタニウム酸化物、ランタンニッケル酸化物、またはカルシウムルテニウム酸化物を含む。前記第2上部電極膜は、前記第1上部電極膜上に形成され、イリジウムルテニウム合金、イリジウム白金合金、イリジウムパラジウム合金、ルテニウム白金合金、ルテニウムパラジウム合金、または白金パラジウム合金を含む。例えば、前記第2上部電極膜は、約30〜50原子量%のイリジウム及び約50〜70原子量%のルテニウムを含有するイリジウムルテニウム合金を含む。前記第1下部電極膜の下には、第2金属または第2金属窒化物を含む接着層を更に形成することができる。例えば、前記接着層は、チタニウム、タンタル、アルミニウム、タングステン、チタニウム窒化物、タンタル窒化物、アルミニウム窒化物、またはタングステン窒化物を含む。
また、前述した本発明の目的を達成するために、本発明の望ましい実施例による強誘電体構造物の製造方法において、第1金属酸化物を用いて第1下部電極膜を形成した後、前記第1下部電極膜上に第2下部電極膜を形成する。前記第2下部電極膜は、第1金属、第1金属酸化物及び/または第1合金を用いて形成される。前記第2下部電極膜上に強誘電体層を形成した後、前記強誘電体層上に第2金属酸化物を用いて第1上部電極膜を形成する。続けて、前記第1上部電極膜上に第2合金を用いて第1上部電極膜を形成する。例えば、前記第1下部電極膜または第2下部電極膜は、電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程を用いて形成され、前記強誘電体層はゾルゲル工程、有機金属化学気相蒸着工程、原子層積層工程、液相エピタキシ工程、またはパルスレーザー蒸着工程を用いて形成される。また、前記第1上部電極膜は、電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程またはパルスレーザー蒸着工程を用いて形成され、前記第2上部電極膜は、スパッタリング工程を用いて形成される。この場合、前記第2上部電極膜は、イリジウムターゲット、及びルテニウムターゲットから前記第1上部電極膜上にイリジウム及びルテニウムを同時にスパッタリングして形成するか、イリジウムルテニウム合金ターゲットから前記第1上部電極膜上にイリジウムルテニウム合金をスパッタリングして形成される。
本発明の望ましい実施例による強誘電体キャパシタは、下部構造物が形成された基板、前記下部構造物に電気的に連結される下部電極、前記下部電極上に形成された強誘電体層パターン及び前記強誘電体層パターン上に形成された上部電極を含む。前記下部電極は、第1金属窒化物を含む第1下部電極膜パターンと、第1金属、第1金属酸化物及び/または第1合金を含む第2下部電極膜パターンを具備する。前記上部電極は、第2金属酸化物を含む第1上部電極膜パターンと、第2合金を含む第2上部電極膜パターンを具備する。前記基板上には、前記下部構造物を覆う絶縁構造物と前記絶縁構造物と前記第1下部電極膜パターンとの間に形成された接着層をさらに含むことができる。
本発明の望ましい実施例による強誘電体キャパシタの製造方法において、基板上に下部構造物を形成した後、第1金属窒化物を用いて前記下部構造物に電気的に連結される第1下部電極膜を形成する。第1金属酸化物及び第1合金からなる群より選択された少なくとも一つを用いて前記第1下部電極膜上に第2下部電極膜を形成した後、前記第2下部電極膜上に強誘電体層を形成する。第2金属酸化物を用いて前記強誘電体層上に第1上部電極膜を形成した後、第2合金を用いて前記第1上部膜上に前記第2上部電極膜を形成する。前記第2上部電極膜、前記第1上部電極膜、前記強誘電体層、前記第2下部電極膜及び前記第1下部電極膜をエッチングして下部電極、強誘電体層パターン、及び上部電極を含む強誘電体キャパシタを形成する。前記第2上部電極膜は、イリジウムターゲット及びルテニウムターゲットからイリジウム及びルテニウムを同時にスパッタリングするか、イリジウムルテニウム合金ターゲットからイリジウムルテニウム合金をスパッタリングして形成される。前記第1及び第2上部電極膜を急速熱処理工程で熱処理することができる。
本発明の望ましい実施例による半導体は、コンタクト領域が形成された半導体基板、前記半導体基板上に形成された少なくとも一つの絶縁膜、前記絶縁膜を貫通して前記コンタクト領域に接触される少なくとも一つのパッド、前記パッド及び前記絶縁膜上に形成され、第1及び第2下部電極膜パターンを有する下部電極、前記下部電極上に形成される強誘電体層パターン、及び、前記強誘電体層パターン上に形成され、第1上部電極膜パターン及び第2上部電極膜パターンを有する上部電極を含む。前記第1下部電極膜パターンは、第1金属窒化物を含み、前記第2下部膜パターンは、第1金属、第1金属酸化物及び/または第1合金を含む。前記第1上部電極膜パターンは、第2金属酸化物を含み、前記第2上部電極膜パターンは第2合金を含む。
また、本発明の望ましい実施例による半導体装置の製造方法において、半導体基板にコンタクト領域を形成した後、前記半導体基板上に少なくとも一つの層間絶縁膜を形成する。前記層間絶縁膜を貫通して前記コンタクト領域に接触される少なくとも一つのパッドを形成した後、第1金属窒化物を用いて前記パッド及び前記絶縁膜上に第1下部電極膜を形成する。第1金属、第1金属酸化物、及び第1合金からなる群より選択された少なくとも一つを用いて前記第1下部電極膜上に第2下部電極膜を形成する。その後、前記第2下部電極上に形成される強誘電体層を形成した後、第2金属酸化物を用いて前記強誘電体層上に第1上部電極膜を形成する。第2合金を用いて前記第1上部電極膜を形成する。続けて、前記第2上部電極膜、前記第1上部電極膜、前記強誘電体層、前記第2下部電極膜、及び前記第1下部電極膜をエッチングして下部電極、強誘電体層パターン及び上部電極を形成する。
本発明によると、ストロンチウムルテニウム酸化物のような金属酸化物を用いて第1上部電極膜を形成し、イリジウムルテニウム合金のような合金を用いて第2上部電極膜を形成した後、前記第1及び第2上部電極膜に対して熱処理工程を行う。したがって、前記第1及び第2上部電極膜を含む強誘電体構造物の分極またはデータの保持力の向上及び疲労抵抗の増加のように強誘電的及び電気的特性を大きく改善することができ、このような強誘電体構造物を有する強誘電体キャパシタの強誘電的及び電気的特性を著しく向上させることができる。また、イリジウムルテニウム合金を含む第2上部電極膜をエッチングする間、活発な揮発性を有するルテニウム酸化物(RuO)のようなエッチング副生成物が生成されるので、強誘電体キャパシタの側壁が約80〜90°の大きい傾斜角度を有することができる。したがって、強誘電体キャパシタの有効面積が拡張されデータセンシングマージンが増加する。更に、前記強誘電体構造物をFRAM装置などの半導体装置に適用する場合、前記半導体装置の信頼性と電気的特性を大きく改善することができる。
本発明によると、ストロンチウムルテニウム酸化物のような金属酸化物を用いて第1上部電極膜を形成し、イリジウムルテニウム合金のような合金を用いて第2上部電極膜を形成した後、前記第1上部電極膜及び第2上部電極膜に対して熱処理工程を行う。したがって、前記第1及び第2上部電極膜を含む強誘電体構造物の分極またはデータ保持力の向上及び疲労抵抗の増加などのように強誘電的及び電気的特性を大きく改善することができ、このような強誘電体構造物を有する強誘電体キャパシタの強誘電的及び電気的特性を著しく向上することができる。また、イリジウムルテニウム合金を含む第2上部電極膜をエッチングする間、活発な揮発性を有するルテニウム酸化物(RuO)のようなエッチング副生成物が生成されるので、強誘電体キャパシタの側壁が約80〜90°の大きい傾斜角度を有することができる。したがって、強誘電体キャパシタの有効面積が拡張されてデータセンシングマージンが増加する。更に、強誘電体構造物をFRAM装置などの半導体装置に適用する場合、前記半導体装置の信頼性と電気的な特性を大きく改善することができる。
以下、本発明による望ましい実施例による強誘電体構造物、強誘電体構造物の製造方法、強誘電体構造物を含む半導体装置及びそれの製造方法を添付した図面を参照して詳細に説明する。
(強誘電体構造物及びそれの製造方法)
図3は、本発明の一実施例による強誘電体構造物の断面図を示す。
図3を参照すると、強誘電体構造物140は、下部電極110、下部電極110上に形成された強誘電体層115、及び強誘電体層115上に形成された上部電極130を含む。
下部電極110は、シリコンウエハ、金属酸化物単結晶基板またはSOI基板などのような基板(図示せず)上に直接形成することができる。本発明の他の実施例によると、下部電極110は、酸化物、窒化物、または酸窒化物からなる絶縁構造物(図示せず)を介在して前記基板上に形成することができる。本発明の他の実施例において、前記基板上にはコンタクト領域、パッド、プラグ、導電性配線、導電性パターン及び/またはトランジスタを含む導電性構造物(図示せず)を形成することができる。この場合、下部電極110は、前記導電性構造物に直接接触されるか、電気的に連結される。
下部電極110は、第1下部電極膜100と第2下部電極膜105を含む。第1下部電極膜100は第1金属窒化物からなる。例えば、第1下部電極膜100は、チタニウム窒化物(TiN)、アルミニウム窒化物(AlN)、チタニウムアルミニウム窒化物(TiAlN)、タングステン窒化物(WN)、タンタル窒化物(TaN)、チタニウムシリコン窒化物(TiSiN)、タンタルシリコン窒化物(TaSiN)、またはこれらの組み合わせで構成される。第1下部電極膜100は、約50〜500Åの厚さを有する。また、第1下部電極膜100は、電子ビーム蒸着(E−beam evaporation)工程、スパッタリング工程、化学気相蒸着(CVD)工程、原子層積層(ALD)工程、またはパルスレーザー工程(PLD)を用いて形成される。例えば、第1下部電極膜100は、チタニウムアルミニウム窒化物をスパッタリング工程で蒸着して形成される。
第2下部電極膜105は、第1下部電極膜100上に位置する。第2下部電極膜105は、第1金属、第1合金及び/または第1金属酸化物で構成される。例えば、第2下部電極膜105は、イリジウム(Ir)、ルテニウム(Ru)、白金(Pt)、パラジウム(Pd)、イリジウム酸化物(IrO)、ルテニウム酸化物(RuO)、ストロンチウムルテニウム酸化物(SRO)またはイリジウムルテニウム合金(IrRu1−X)で構成される。これらは単独にまたは混合して用いることができる。本発明の他の実施例によると、第2下部電極膜105は、前記第1金属酸化物及び第1金属を含む二重膜構造を有することができる。例えば、第2下部電極膜105は、ストロンチウムルテニウム酸化物/イリジウムまたはイリジウム酸化物/イリジウムを含む二重膜構造を有する。第2下部電極膜105は、電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程を用いて形成される。例えば、第2下部電極膜105は、イリジウムをスパッタリング工程で蒸着して形成される。第2下部電極膜105は、第1下部電極膜100の上面から約500〜1500Åの厚さに形成される。
本発明の他の実施例において、下部電極110と前記絶縁構造物との間、または下部電極110と前記基板との間には、下部電極110と前記絶縁構造物または前記基板の間の接着力を向上させるために接着層を形成することができる。前記接着層は、第2金属または第2金属窒化物からなる。例えば、前記接着層は、チタニウム(Ti)、タンタル(Ta)、アルミニウム(Al)、タングステン(W)、チタニウム窒化物、タンタル窒化物、アルミニウム窒化物またはタングステン窒化物からなる。これらは単独または混合して用いることができる。また、前記接着層は、電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程を用いて形成される。例えば、前記接着層はチタニウムをスパッタリング工程で蒸着して形成される。
第1下部電極膜100は、強誘電体層115から酸素が拡散されることを防止する障壁層の役割を果たし、第2下部電極膜105は強誘電体層115を構成する強誘電体の結晶性を向上させる機能を果たす。また、第1下部電極膜100は、前記基板または前記絶縁構造物と下部電極110との間に前記接着層が形成されない場合、前記絶縁構造物または前記基板と第2下部電極105との間の接着力を向上させる機能も果たす。言い換えれば、第1下部電極膜100は、前記障壁層と接着層の役割を同時に果たすことができる。
強誘電体層115は、第2下部電極膜105上に位置する。強誘電体層115は、BaTiO、PZT、SBT、BLT、PLZT[Pb(La,Zr)TiO]、またはBST[(Bi,Sr)TiO]のような強誘電性物質からなる。本発明の他の実施例によると、強誘電体層115は、金属がドープされた前記強誘電性物質で形成することができる。例えば、強誘電体層115は、カルシウム(Ca)、ランタン(La)、マンガン(Mn)、またはビスマス(Bi)などの金属がドープされたBaTiO、PZT、SBT、BLT、PLZT、またはBSTなどの強誘電性物質で形成することができる。本発明の更に他の実施例によると、強誘電体層115は、強誘電性を有する金属酸化物で構成することができる。例えば、強誘電体層115は、チタニウム酸化物(TiO)、タンタル酸化物(TaO)、アルミニウム酸化物(AlO)、亜鉛酸化物(ZnO)またはハフニウム酸化物(HfO)で構成することができる。
一方、強誘電体層115は、有機金属化学気相蒸着(MOCVD)工程、ゾルゲル工程、液相エピタキシ(Liquid Phase Epitaxy;LPE)工程または原子層積層工程を用いて形成される。例えば、強誘電体層115は、PZTを有機金属化学気相蒸着工程で蒸着して形成される。強誘電体層115は、第2下部電極膜105の上面を基準として約200〜1200Åの厚さを有する。
上部電極130は、強誘電体層115上に順序に形成された第1上部電極膜120及び第2上部電極膜125を含む。第1上部電極膜120は、第2金属酸化物からなる。例えば、第1上部電極膜120は、インジウムスズ酸化物(InSn;ISO)、イリジウム酸化物、ストロンチウムルテニウム酸化物(SRO)、ストロンチウムチタニウム酸化物(SrTiO;STO)、ランタンニッケル酸化物(LnNiO;LNO)またはカルシウムルテニウム酸化物(CaRuO;CRO)で構成される。本発明の一実施例によると、第1上部電極膜120を構成する前記第2金属酸化物と第2下部電極膜105を構成する前記第1金属酸化物は実質的に同一な物質であってもよい。本発明の他の実施例によると、第2下部電極膜105と第1上部電極膜120は、互いに異なる金属酸化物を含むことができる。
第1上部電極膜120は、強誘電体層115の上面から約10〜300Åの厚さを有する。また、第1上部電極膜120は、電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程を用いて形成される。例えば、第1上部電極膜120は、ストロンチウムルテニウム酸化物をスパッタリグ工程で蒸着して形成される。
前記第2上部電極膜125は、第2合金からなる。例えば、第2上部電極膜125は、イリジウムルテニウム合金、イリジウム白金合金、またはルテニウム白金合金で構成される。第2上部電極膜125は、イリジウムルテニウム合金で構成する場合、第2上部電極膜125は、約30〜50原子量%のイリジウムと約50〜70原子量%程度のルテニウムを含む。即ち、第2上部電極膜125内のイリジウムとルテニウムとの含量比は、約1:1.0〜1:1.4程度になる。例えば、第2上部電極膜125は、約40原子量%のイリジウム及び約60原子量%のルテニウムを含む。第2上部電極膜125は、第1上部電極膜120の上面を基準として約300〜1000Å程度の厚さを有する。本発明の一実施例において、第2上部電極膜125を構成する前記第2合金と第2下部電極膜105を構成する前記第1合金は実質的に同一である。本発明の他の実施例によると、第2上部電極膜125と第2下部電極膜105は互いに異なる合金を用いて形成することができる。
一方、第2上部電極膜125は、電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程を用いて形成される。本発明の一実施例によると、前記第2合金で構成された第2上部電極膜125を形成するための前記スパッタリング工程において、イリジウムを含む第1ターゲットとルテニウムで構成された第2ターゲットとを同時に用いてイリジウムルテニウム合金を含む第2上部電極膜125を形成する。即ち、前記第1ターゲットから前記第1上部電極膜120上にイリジウムをスパッタリングすると共に前記第2ターゲットから第1上部電極膜120上にルテニウムをスパッタリングしてイリジウムルテニウム合金からなる第2上部電極膜125を形成する。この場合、前記第1ターゲットと前記第2ターゲットは基板100に対して互いに異なる軸線上に位置する。また、前記第1ターゲット及び第2ターゲットに印加される電力を調節して第2上部電極膜125内のイリジウムとルテニウムの含量比を調節することができる。本発明の他の実施例によると、イリジウムルテニウム合金からなる一つのターゲットを用いて第1上部電極膜120上にイリジウムルテニウム合金を含む第2上部電極膜125を形成することができる。
強誘電体層115上に第1上部電極膜120及び第2上部電極膜125を有する上部電極130を形成した後、第1上部電極膜120からルテニウム、チタニウム、またはニッケルなどの金属が揮発することを防止すると共に前記スパッタリング工程の間、第2上部電極膜125の損傷をキュアリングするために上部電極130に対して熱処理工程を行う。例えば、上部電極130は、急速熱処理工程を用いて熱処理される。また、上部電極130に対する前記熱処理工程は、酸素雰囲気または窒素雰囲気下で行われる。例えば、上部電極130は約500〜700℃の温度にて熱処理される。
図4は、本発明の他の実施例による強誘電体構造物の断面図を示したものである。
図4参照すると、強誘電体構造物190は、接着層150、第1下部電極膜155及び第2下部電極膜160を有する下部電極165、下部電極165上に形成された強誘電体層170、および、強誘電体層170上に順序に第1上部電極膜175及び第2上部電極膜180を有する上部電極185を含む。
接着層150は、基板(図示せず)上に直接形成されるか、絶縁構造物(図示せず)を介在して前記基板上に形成することができる。前記基板上には、コンタクト領域、パッド、プラグ、導電性配線、導電性パターン及び/またはトランジスタを含む導電性構造物(図示せず)を形成することができる。接着層150は、前記導電性構造物に直接接触されるか電気的に連結される。
接着層150は、前記基板または前記絶縁構造物上に金属または金属窒化物を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、または原子層積層工程で蒸着して形成される。接着層150は、前記基板または前記絶縁構造物と第1下部電極膜155との間の接着力を向上させる。したがって、下部電極165が前記基板または前記絶縁構造物から浮き上がる(リフトされる)現象を防止することができる。
第1下部電極膜155は、接着層150上に形成される。第1下部電極膜155は、接着層150上に金属または金属窒化物を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、または原子層積層工程で蒸着して形成される。第1下部電極膜155は、強誘電体層170から酸素が拡散されることを防止する障壁層の役割を果たす。
第2下部電極膜160は、第1下部電極膜155上に形成される。第2下部電極膜155は、金属、合金、及び/または金属酸化物を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成される。本発明の一実施例によると、第2下部電極膜160は、イリジウム、ルテニウム、白金、パラジウム、イリジウム酸化物、ルテニウム酸化物、ストロンチウムルテニウム酸化物、またはイリジウムルテニウム合金からなる単一膜の構造を有する。本発明の他の実施例によると、第2下部電極膜160は、ストロンチウムルテニウム酸化物/イリジウムまたはイリジウム酸化物/イリジウムを含む二重膜の構造を有することができる。
第2下部電極膜160上には、強誘電体層170が形成される。強誘電体層170は、強誘電性物質、金属がドープされた強誘電性物質または強誘電性を有する金属酸化物を有機金属化学気相蒸着工程、ゾルゲル工程、液相エピタキシ工程または原子層積層工程で蒸着して形成される。
強誘電体層170上には、第1上部電極膜175が形成される。第1上部電極膜175は、金属酸化物を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成される。
第2上部電極膜180は、第1上部電極膜175上に形成される。第2上部電極膜180は、合金を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成する。例えば、第2上部電極膜180は、約30〜50原子量%のイリジウムと約50〜70原子量%のルテニウムを含有するイリジウムルテニウム合金を用いて形成される。本発明の一実施例において、イリジウムターゲットとルテニウムターゲットとを同時に用いてイリジウムルテニウム合金を含む第2上部電極膜180を形成する。前述したように、イリジウムターゲットとルテニウムターゲットに印加される電力を調節して第2上部電極膜180内のイリジウムとルテニウムとの含量比を調節することができる。本発明の他の実施例によると、イリジウムルテニウム合金ターゲットを用いてイリジウムルテニウム合金を含む第2上部電極膜180を形成することができる。
強誘電体層170上に第1上部電極膜175及び第2上部電極膜180を有する上部電極185を形成した後、第1上部電極膜175の金属酸化物に含まれた金属が揮発されることを防止するために、上部電極185に対して酸素雰囲気または窒素雰囲気下で熱処理工程を行う。
(強誘電体キャパシタ及びそれの製造方法)
図5は、本発明の一実施例による強誘電体キャパシタの断面図を示したものである。
図5を参照すると、強誘電体キャパシタ260は、下部構造物205を含む基板200、基板200上に形成された絶縁構造物210、絶縁構造物210を貫通して下部構造物205に接触されるパッド220、絶縁構造物210及びパッド220上に形成された下部電極235、下部電極235上に形成された強誘電体層パターン240、および強誘電体層パターン240上に形成された上部電極255を具備する。
基板200は、シリコンウエハ、金属酸化物単結晶基板またはSOI基板を含む。下部構造物205は、基板200上に形成されたトランジスタ、コンタクト領域、パッド、導電性パターン、導電性配線、ゲート構造物、及び/またはトラジスタを含む。
絶縁構造物210は、下部構造物205を満たし、かつ基板200上に形成される。絶縁構造物210は、下部構造物205及び基板200上に形成された少なくとも一つの絶縁層を含む。絶縁構造物210は、酸化物、窒化物、または酸窒化物からなる。例えば、絶縁構造物210は、BPSG、PSG、USG、SOG、FOX、TEOS、PE−TEOS、HDP−CVD酸化物、シリコン窒化物、またはシリコン酸窒化物で構成される。
絶縁構造物210には、下部構造物205を露出させる開口215が形成される。パッド220は、開口215を満たしながら下部構造物205上に形成される。パッド220は、金属または金属窒化物で構成される。例えば、パッド220は、タングステン、アルミニウム、チタニウム、タンタル、銅、タングステン窒化物、アルミニウム窒化物、チタニウム窒化物、またはタンタル窒化物からなる。
第1下部電極膜パターン225は、絶縁構造物210及びパッド220上に形成される。下部電極膜パターン225は、チタニウム窒化物、アルミニウム窒化物、チタニウムアルミニウム窒化物、タングステン窒化物、タンタル窒化物、チタニウムシリコン窒化物、またはタンタルシリコン窒化物のような第1金属窒化物からなる。第1下部電極膜パターン225は、絶縁構造物210の上面を基準として約50〜500Åの厚さを有する。第1下部電極膜パターン225は、パッド220を通じて下部構造物205に電気的に連結される。また、第1下部電極膜パターン225は、絶縁構造物210と下部電極235との間の接着力を向上させると共に強誘電体層パターン240から酸素が拡散することを防止する。
第2下部電極膜パターン230は、第1下部電極膜パターン225上に位置する。第2下部電極膜パターン230は、第1下部電極膜パターン225の上面から約500〜1500Åの厚さを有する。第2下部電極膜パターン230は、第1金属、第1金属酸化物、及び/または第1合金で構成される。例えば、第2下部電極膜パターン230は、イリジウム、白金、ルテニウム、パラジウム、イリジウム酸化物、ストロンチウムルテニウム酸化物、ルテニウム酸化物、またはイリジウムルテニウム合金からなる。また、第2下部電極膜パターン230は、第1金属酸化物及び第1金属を含む二重膜の構造を有することができる。
第1下部電極膜パターン225及び第2下部電極膜パターン230を含む下部電極235の側壁は、基板200に水平な方向に対して相対的に大きい傾斜角度を有する。例えば、下部電極235の側壁は、約80〜90°の垂直に近い傾斜を有する。
強誘電体層パターン240は、第2下部電極膜パターン230上に形成される。強誘電体層パターン240は、BaTiO、PZT、SBT、BLT、PLZT、またはBSTのような強誘電性物質で構成される。また、前記強誘電体層パターン240は、カルシウム、ランタン、マンガン、またはビスマスがドープされた前記強誘電性物質で構成することができる。更に、強誘電体層パターン240は、チタニウム酸化物、タンタル酸化物、アルミニウム酸化物、亜鉛酸化物、またはハフニウム酸化物などの強誘電性を有する金属酸化物で構成することができる。強誘電体層パターン240は、第2下部電極膜パターン230の上面から約200〜1200Åの厚さを有する。
強誘電体パターン240は、下部電極235より若干小さい面積を有し、かつ下部電極235上に形成される。強誘電体パターン240の側壁も基板200に水平な方向に対して相対的に大きい傾斜角度を有する。例えば、強誘電体パターン240の側壁は約80〜90°の角度を有する。
第1上部電極膜パターン245は、第2金属酸化物を含み、強誘電体層パターン240上に位置する。例えば、第1上部電極膜パターン245は、インジウムスズ酸化物、イリジウム酸化物、ストロンチウムルテニウム酸化物、ストロンチウムチタニウム酸化物、ランタンニッケル酸化物、またはカルシウムルテニウム酸化物からなる。第1上部電極膜パターン245は、強誘電体層パターン240の上面を基準として約10〜300Åの厚さを有する。
第2上部電極膜パターン250は第2合金で構成され、第1上部電極膜パターン245上に形成される。例えば、第2上部電極膜パターン250は、イリジウムルテニウム合金、イリジウム白金合金、イリジウムパラジウム合金、ルテニウム白金合金、ルテニウムパラジウム合金、または白金パラジウム合金からなる。例えば、第2上部電極膜パターン250は、約30〜50原子量%のイリジウムと約50〜70原子量%のルテニウムを含有するイリジウム白金合金で構成される。
第1上部電極膜パターン245及び第2上部電極膜パターン250を含む上部電極255は、強誘電体層パターン240より若干小さい面積を有する。前述したことと同様に、上部電極255の側壁も基板200に水平な方向に対して相対的に大きい傾斜角度、例えば、約80〜90°の傾斜角度を有する。これによって、下部電極235、強誘電体層パターン240、及び上部電極255を含む強誘電体キャパシタ260の側壁は、全体的に基板200に水平な方向に対して約80〜90°の大きい傾斜角度を有する。強誘電体キャパシタ260が高い側壁傾斜角度を有する場合、強誘電体キャパシタ260の有効面積を拡張してデータセンシングマージンをより大きく確保することができ、データ保持力または分極保持力などのような強誘電的特性も改善することができる。
図6は、本発明の一実施例による強誘電体キャパシタの製造方法を説明するための工程順序図であり、図7乃至図10は、図6に示した強誘電体キャパシタの製造方法を説明するための断面図である。図7乃至図10において、図5と同一部材に対しては同一の参照符号を付与する。
図6及び図7を参照すると、基板200上に下部構造物205を形成する(段階S10)。基板200は、金属酸化物単結晶基板、シリコンウエハまたはSOI基板などを含み、下部構造物205は、このような基板200上に形成されたコンタクト領域、導電性配線、導電性パターン、パッド、プラグ、ゲート構造物、及び/またはトランジスタなどを具備する。
下部構造物205を覆い、かつ基板200上に絶縁構造物210を形成する(段階S20)。絶縁構造物210は、少なくとも一つ酸化物層、少なくとも一つの窒化物層及び/または一つの酸窒化物層を含む。絶縁構造物210は、化学気相蒸着工程、プラズマ増大化学気相蒸着工程、原子層積層工程、または高密度プラズマ化学気相蒸着工程を用いて形成される。例えば、絶縁構造物210は、PSG、USG、SOG、FOX、TEOS、PE−TEOS、HDP−CVD酸化物、シリコン窒化物、及び/またはシリコン酸窒化物を用いて形成される。
絶縁構造物210上にフォトレジストパターン(図示せず)を形成した後、前記フォトレジストパターンをエッチングマスクとして用いて絶縁構造物210を部分的にエッチングすることで、絶縁構造物210に前記コンタクト領域を含む下部構造物205を露出させる開口215を形成する。
開口215を満たしかつ絶縁構造物210上に導電膜218を形成する。導電膜218は、金属または金属窒化物を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、パルスレーザー蒸着工程、または原子層積層工程で蒸着して形成される。例えば、導電膜218は、タングステン、アルミニウム、タンタル、銅、チタニウム、タングステン窒化物、アルミニウム窒化物、タンタル窒化物、またはチタニウム窒化物を用いて形成される。
図6及び図8を参照すると、化学機械的研磨工程、エッチバック工程、または化学機械的研磨とエッチバックを組み合わせた工程を用いて絶縁構造物210が露出されるまで、導電膜218を部分的に除去することで、開口215を満たし、かつ露出された下部構造物205上にパッド220を形成する(段階S30)。
絶縁構造物210及びパッド220上に第1下部電極膜233及び第2下部電極膜227を含む下部電極層233を形成する。第1下部電極膜233は絶縁構造物210及びパッド220上に形成される。第1下部電極膜223は、第1金属窒化物を電子ビーム蒸着工程、化学気相蒸着工程、スパッタリング工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成する。例えば、第1下部電極膜223は、チタニウム窒化物、アルミニウム窒化物、チタニウムアルミニウム窒化物、タンタル窒化物、チタニウムシリコン窒化物、またはタンタルシリコン窒化物を用いて形成される。
第1下部電極膜223上には、第2下部電極膜227が形成される。第2下部電極膜227は、第1金属、第1金属酸化物、及び/または第1合金を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、パルスレーザー蒸着工程または原子層積層工程で蒸着して形成する。例えば、第2下部電極膜227は、イリジウム、白金、ルテニウム、イリジウム酸化物、ストロンチウムルテニウム酸化物、イリジウムルテニウム合金、ストロンチウムルテニウム酸化物/イリジウムまたはイリジウム酸化物/イリジウムを用いて形成される。第1下部電極膜223上に第2下部電極膜227を形成する工程において、基板200は、反応チャンバ内に導入され、前記反応チャンバは約20〜350°の温度及び約3〜10mTorrの低い圧力に維持される。また、第2下部電極膜227は、不活性ガス雰囲気下で、約300〜1000Wの電力を印加して形成する。例えば、前記不活性ガスはアルゴンガス、窒素ガス、またはヘリウムガスを含む。
下部電極層233上に強誘電体層237を形成する(段階S50)。強誘電体層237は、強誘電性物質を有機金属化学気相蒸着工程、液相エピタキシ工程、ゾルゲル工程、スパッタリング工程、パルスレーザー蒸着工程、または原子層積層工程で蒸着して形成される。例えば、強誘電体層237は、BaTiO、PZT、SBT、BLT、PLZT、またはBSTのような強誘電性物質、カルシウム、ランタン、マンガン、またはビスマスなどの金属がドープされた強誘電体性物質、またはチタニウム酸化物、タンタル酸化物、アルミニウム酸化物、亜鉛酸化物、またはハフニウム酸化物などのような強誘電体性を有する金属酸化物を用いて形成される。前記有機金属化学気相蒸着工程を用いて強誘電体層237を形成する場合、第2下部電極膜233が形成された基板200を反応チャンバ内にロードさせた後、前記反応チャンバを約500〜600℃の温度及び約1〜10Torrの圧力に維持する。その後、有機金属前駆体を第2下部電極膜233上に導入した後、酸化剤を供給して第2下部電極膜233上にPZTを含む強誘電体層237を形成する。例えば、前記有機金属前駆体は、鉛または鉛を含む第1化合物、ジルコニウムまたはジルコニウムを含む第2化合物、および、チタニウムまたはチタニウムを含む第2化合物で構成され、前記酸化剤は酸素(O)、オゾン(O)、二酸化窒素(NO)、または酸化二窒素(NO)を含む。
図6及び図9を参照すると、強誘電体層237上に第1上部電極膜243及び第2上部電極膜247を含む上部電極層253を形成する(段階S60)。第1上部電極膜243は、強誘電体層237上に第2金属酸化物を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成される。例えば、第1上部電極膜243は、インジウムスズ酸化物、イリジウム酸化物、ストロンチウムルテニウム酸化物、ストロンチウムチタニウム酸化物、ランタンニッケル酸化物、またはカルシウムルテニウム酸化物を用いて形成される。前記スパッタリング工程を通じて第1上部電極膜243を形成した後、強誘電体層237が形成された基板200を反応チャンバ内に位置させた後、前記反応チャンバ内の温度及び圧力をそれぞれ約300〜500℃及び約3〜10mTorrに維持する。また、第1上部電極膜243は、不活性ガス雰囲気下で約300〜1000Wの電力を印加して形成される。この場合、前記不活性ガスはアルゴンガスのみを含む。
第2上部電極膜247は、第2合金を第1上部電極膜243上にスパッタリング工程、電子ビーム蒸着工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成する。例えば、第2上部電極膜247は、イリジウムルテニウム合金、イリジウム白金合金、イリジウムパラジウム合金、ルテニウム白金合金、ルテニウムパラジウム合金、または白金パラジウム合金を用いて形成される。本発明の一実施例において、前記スパッタリング工程を用いて第2上部電極膜247を形成する場合、第1上部電極膜243が形成された基板200を反応チャンバ内にロードした後、イリジウムを含有する第1ターゲットとルテニウムとを含有する第2ターゲットを同時に用いてイリジウムルテニウム合金を含む第2上部電極膜247を形成する。前記反応チャンバは、常温の温度及び約3〜10mTorr程度の圧力に維持され、前記第1ターゲット及び第2ターゲットには、それぞれ約400〜600Wの電力が印加される。ここで、前記第1ターゲット及び第2ターゲットは、それぞれ基板200に対して異なる軸線上に位置する。また、前記スパッタリング工程は、アルゴンガスのみを含む不活性ガス雰囲気下で形成される。例えば、前記アルゴンガスは約30sccmの流量で供給され、前記第1及び第2ターゲットと基板200との間に間隔はそれぞれ約100nm以下に維持される。前述した工程の条件下で、スパッタリング工程を行うようになると、第1上部電極膜243上に約30〜50原子量%のイリジウムと約50〜70原子量%のルテニウムを含有するイリジウムルテニウム合金を含んで構成された第2上部電極膜247が形成される。ここで、前記第1ターゲット及び前記第2ターゲットに印加される電力に応じて第2上部電極膜247内のイリジウム及びルテニウムの含量比を調節することができる。本発明の他の実施例によると、イリジウムルテニウム合金からなる一つのターゲットを用いて第2上部電極膜247を形成することができる。ここで、前記イリジウムルテニウム合金ターゲットは、約30〜50原子量%のイリジウムと約50〜70原子量%のルテニウムを含有する。
第1上部電極膜243及び第2上部電極膜247を含む上部電極層253に対して熱処理工程を行って第1上部電極膜243から金属の揮発を防止すると共に前記スパッタリング工程の間、第2上部電極膜247に発生した損傷をキュアリングする(段階S70)。前記上部電極層253は、酸素ガス、窒素ガス、またはこれらの混合ガスの雰囲気下で急速熱処理工程(RTP)を用いて熱処理される。前記急速熱処理工程は約500〜700℃の温度にて約30秒〜2分間行われる。
第2上部電極膜247上には、強誘電体キャパシタ260(図10を参照)を形成するためのハードマスクパターン257を形成する(段階S80)。ハードマスクパターン257は、酸化物、窒化物、酸窒化物、または金属酸化物を化学気相蒸着工程、スパッタリング工程、電子ビーム蒸着工程、原子層積層工程またはパルスレーザー蒸着工程で積層して形成される。例えば、ハードマスクパターン257は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはストロンチウムルテニウム酸化物を用いて形成される。
図6及び図10を参照すると、ハードマスクパターン257をエッチングマスクとして用いて上部電極層253、強誘電体層237及び下部電極層233を順序にパターニングすることで、絶縁構造物210及びパッド220上に下部電極235、強誘電体層パターン240及び上部電極255を順次形成する(段階S90)。下部電極235は、第1下部電極膜パターン225及び第2下部電極膜パターン230を含み、上部電極255は第1上部電極膜パターン245及び第2上部電極膜パターン250を具備する。
本発明の他の実施例によると、強誘電体パターン240及び上部電極255を含む強誘電体キャパシタ260に対して追加的な工程を行って第1上部電極膜パターン245及び強誘電体層パターン240を構成する物質を結晶化することができる。例えば、第1上部電極膜パターン245及び強誘電体層パターン240は、酸素ガス、窒素ガス、またこれらの混合ガス雰囲気下で急速熱処理工程(RTP)で熱処理される。この場合、前記急速熱処理工程は、約500〜650℃の温度にて約30秒〜3分間行われる。
図11は、本発明の他の実施例の他の強誘電体キャパシタの断面図を示したものである。
図11を参照すると、強誘電体キャパシタ370は、基板300、基板300上に形成された下部構造物305、基板300上に形成された絶縁構造物310、絶縁構造物310を貫通して下部構造物305に接触されるパッド320、絶縁構造物310、及びパッド320上に形成された接着層パターン325、接着層パターン325上に形成された下部電極340、下部電極340上に形成された強誘電体層パターン345、および強誘電体層パターン345上に形成された上部電極360を具備する。下部電極340は、接着層パターン325上に形成された第1下部電極膜パターン330及び第1下部電極膜パターン330上に形成された第2下部電極膜パターン335を含む。また、上部電極360は、強誘電体層パターン345上に順序に形成された第1上部電極膜パターン350及び第2上部電極膜パターン355を具備する。
下部構造物305は、基板300上に形成されたトランジスタ、コンタクト領域、パッド、導電性パターン導電性配線、ゲート構造物、及び/またはトランジスタを含み、絶縁構造物310は下部構造物305を覆い、かつ基板300上に形成される。絶縁構造物310は、下部構造物305及び基板300を覆う少なくとも一つの絶縁層を含む。絶縁構造物310は、BPSG、PSG、USG、SOG、FOX、TEOS、PE−TEOS、またはHDP−CVD酸化物のような酸化物、シリコン窒化物などの窒化物またはシリコン酸窒化物などの酸窒化物で構成される。
絶縁構造物310には、下部構造物305を露出させる開口315が形成され、パッド320は、開口315を満たしかつ下部構造物305に接触される。パッド320はタングステン、アルミニウム、チタニウム、タンタル、または銅などの金属、あるいはタングステン窒化物、アルミニウム窒化物、チタニウム窒化物、またはタンタル窒化物などの金属窒化物で構成される。
接着層パターン325は、絶縁構造物310及びパッド320上に形成される。接着層パターン325は、金属または金属窒化物で構成される。例えば、接着層パターン325は、チタニウム、タンタル、アルミニウム、タングステン、チタニウム窒化物、タンタル窒化物、アルミニウム窒化物、またはタングステン窒化物で構成される。接着層パターン325の側壁は、基板300に対して、例えば、約80〜90°の相対的に大きい傾斜角度を有する。接着層パターン325は、絶縁構造物310と第1下部電極膜パターン330との間の接着力を向上させる。
接着層パターン325上に位置する第1下部電極膜パターン330は、第1金属窒化物を含む。例えば、第1下部電極膜パターン330は、チタニウム窒化物、アルミニウム窒化物、チタニウムアルミニウム窒化物、タングステン窒化物、タンタル窒化物、チタニウムシリコン窒化物、またはタンタルシリコン窒化物で構成される。第1下部電極膜パターン330は、接着層パターン325の上面から約50〜500Åの厚さを有する。第1下部電極膜パターン330は、接着層パターン325及びパッド320を通じて下部構造物305に電気的に連結される。第1下部電極膜パターン330は、強誘電体層パターン345から酸素が拡散されることを防止する障壁層の機能を果たす。第1下部電極膜パターン330は、接着層パターン325より若干小さい面積を有する。
第2下部電極膜パターン335は、第1下部電極膜パターン330上に形成される。第2下部電極膜パターン335は、第1下部電極膜パターン330の上面を基準として約500〜1500Åの厚さを有する。第2下部電極膜パターン335は、第1金属、第1金属酸化物、及び/または第1合金で構成される。例えば、第2下部電極膜パターン335は、イリジウム、白金、ルテニウム、パラジウム、イリジウム酸化物、ストロンチウムルテニウム酸化物、ルテニウム酸化物、またはイリジウムルテニウム合金からなる。第2下部電極膜パターン335は、前記第1金属、前記第1金属酸化物、または前記第1合金で構成された単一膜の構造を有する。本発明の他の実施例によると、第2下部電極膜パターン335は、第1金属酸化物及び第1金属を含む二重膜構造を有することができる。第2下部電極膜パターン335は、第1下部電極膜パターン330より若干小さい面積を有する。
第1下部電極膜パターン330及び第2下部電極膜パターン335を含む下部電極340の側壁は基板300に水平な方向に対して約80〜90°程度の相対的に大きい傾斜角度を有する。
強誘電体層パターン345は、第2下部電極膜パターン335上に形成される。強誘電体層パターン345は、BaTiO、PZT、SBT、BLT、PLZT、またはBSTのような強誘電性物質、カルシウム、ランタン、マンガン、またはビスマスなどの金属がドープされた強誘電性物質またはチタニウム酸化物、タンタル酸化物、アルミニウム酸化物、亜鉛酸化物、またはハフニウム酸化物などの強誘電性を有する金属酸化物で構成される。強誘電体層パターン345は、第2下部電極膜パターン335の上面を基準として約200〜1200Åの厚さを有する。強誘電体層パターン345は、下部電極340より若干小さい面積を有する。強誘電体層パターン345の側壁も基板300に水平な方向に対して約80〜90°の相対的に大きい傾斜角度を有する。
第2金属酸化物で構成された第1上部電極膜パターン350は、強誘電体層パターン345上に形成される。例えば、第1上部電極膜パターン350は、インジウムスズ酸化物、イリジウム酸化物、ストロンチウムルテニウム酸化物、ストロンチウムチタニウム酸化物、ランタンニッケル酸化物、またはカルシウムルテニウム酸化物で構成される。第1上部電極膜パターン350は、強誘電体層パターン345の上面から約10〜300Åの厚さを有する。第1上部電極膜パターン350は、強誘電体層パターン345より若干小さい面積を有する。
イリジウムルテニウム合金、イリジウム白金合金、イリジウムパラジウム合金、ルテニウム白金合金、ルテニウムパラジウム合金、または白金パラジウム合金などの第2合金で構成された第2上部電極膜パターン355は、第1上部電極膜パターン350上に位置する。例えば、第2上部電極膜パターン355は、約30〜50原子量%のイリジウムと約50〜70%のルテニウムを含むイリジウム白金合金で構成される。第2上部電極膜パターン355は、第1上部電極膜パターン350より若干小さい面積を有する。
第1上部電極膜パターン350及び第2上部電極膜パターン355を含む上部電極360は、全体的に強誘電体層パターン345より若干小さい面積を有する。前述したことの同様に、上部電極360の側壁も基板200に水平な方向に対して約80〜90°程度の相対的に大きい傾斜角度を有する。したがって、接着層パターン325、下部電極340、強誘電体層パターン345、及び上部電極360を含む強誘電体キャパシタ370の側壁は全体的に基板300に水平な方向に対して約80〜90°程度の大きい傾斜角度を有する。
図12乃至図14は、図11に示した強誘電体キャパシタの製造方法を説明するための断面図である。図11乃至図14において、図11と同一の部材に対しては同一の参照符号を付与する。
図12を参照すると、基板300上にコンタクト領域を含む下部構造物305を形成した後、下部構造物305を覆いかつ基板300上に絶縁構造物310を形成する。少なくとも一つの酸化物層、少なくとも一つの窒化物層及び/または少なくとも一つの酸窒化物層を含む絶縁構造物310は、化学気相蒸着工程、プラズマ増大化学気相蒸着工程、原子層積層工程、または高密度化学気相蒸着工程を用いて形成される。
絶縁構造物310上に第1フォトレジストパターン(図示せず)を形成した後、前記第1フォトレジストパターンをエッチングマスクとして用いて絶縁構造物310を部分的にエッチングする。これによって、絶縁構造物310を貫通して前記コンタクト領域を含む外部構造物305を露出させる開口315が形成される。
金属または金属窒化物を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、パルスレーザー蒸着工程、または原子層積層工程で蒸着して開口315を満たし、かつ絶縁構造物310上に導電膜を形成する。前記導電膜は、タングステン、アルミニウム、タンタル、銅、チタニウム、タングステン窒化物、アルミニウム窒化物、タンタル窒化物、またはチタニウム窒化物を用いて形成される。
化学機械的研磨工程、エッチバック工程、または化学機械的研磨とエッチバックとを組み合わせた工程を用いて絶縁構造物310が露出されるまで前記導電膜を部分的に除去することで、開口315を満たし、かつ下部構造物305に接触されるパッド320を形成する。前記第1フォトレジストパターンは、アッシング工程及び/またはストリップ工程を通じて除去される。
絶縁構造物310及びパッド320上に接着層323を形成する。接着層323は、金属または金属窒化物を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成される。例えば、接着層323は、チタニウム、タンタル、アルミニウム、タングステン、チタニウム窒化物、タンタル窒化物、アルミニウム窒化物、またはタングステン窒化物を用いて形成される。
第1下部電極膜327及び第2下部電極膜333を含む外部電極層337は、接着層323上に形成される。第1下部電極膜327は、接着層323上に第1金属窒化物を電子ビーム蒸着工程、化学気相蒸着工程、スパッタリング工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成される。例えば、第1下部電極膜327は、チタニウム窒化物、アルミニウム窒化物、チタニウムアルミニウム窒化物、タンタル窒化物、チタニウムシリコン窒化物、またはタンタルシリコン窒化物を用いて形成される。
第2下部電極膜333は、第1金属、第1金属酸化物、及び/または第1合金を第1下部電極膜327上に電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、パルスレーザー蒸着工程、または原子層積層工程で蒸着して形成する。例えば、第2下部電極膜333は、イリジウム、白金、ルテニウム、イリジウム酸化物、ストロンチウムルテニウム酸化物、イリジウムルテニウム合金、ストロンチウムルテニウム酸化物/イリジウム、またはイリジウム酸化物/イリジウムを用いて形成される。
図13を参照すると、有機金属化学気相蒸着工程、液相エピタキシ工程、ゾルゲル工程、スパッタリング工程、パルスレーザー工程、または原子層積層工程を用いて下部電極層337上に強誘電体層343を形成する。強誘電体層343は、BaTiO、PZT、SBT、BLT、PLZT、またはBSTのような強誘電性物質、カルシウム、ランタン、マンガン、またはビスマスなどの金属がドープされた強誘電体性物質、またはチタニウム酸化物、タンタル酸化物、アルミニウム酸化物、亜鉛酸化物、またはハフニウム酸化物などのような強誘電性を有する金属酸化物を用いて形成される。
強誘電体層343上には、第1上部電極膜347、及び第2上部電極膜353を含む上部電極層357が形成される。第1上部電極膜347は、第2金属酸化物を強誘電体層343上に電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成される。例えば、第1上部電極膜347は、インジウムスズ酸化物、イリジウム酸化物、ストロンチウムルテニウム酸化物、ストロンチウムチタニウム酸化物、ランタンニッケル酸化物、またはカルシウムルテニウム酸化物を用いて形成される。
前記第2上部電極膜353は、第2合金を第1上部電極膜347上にスパッタリング工程、電子ビーム蒸着工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程で蒸着して形成される。例えば、第2上部電極膜353は、イリジウムルテニウム合金、イリジウム白金合金、イリジウムパラジウム合金、ルテニウム白金合金、ルテニウムパラジウム合金、または白金パラジウム合金を用いて形成される。
第1上部電極膜347及び第2上部電極膜353を含む上部電極層357に対して熱処理工程を行って第1上部電極膜347から金属の揮発を防止する一方、第2上部電極膜353に発生した損傷をキュアリングする。前記電極層357は、酸素ガス、窒素ガス、またはこれらの混合ガス雰囲気下で約500〜700℃の温度にて約30秒〜2分間急速熱処理工程を行って熱処理される。
第2上部電極膜353上にハードマスク層を形成した後、前記ハードマスク層上に第2フォトレジストパターン(図示せず)を形成する。前記ハードマスク層は、酸化物、窒化物、酸窒化物、または金属酸化物を化学気相蒸着工程、スパッタリング工程、電子ビーム蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程で積層して形成される。例えば、前記ハードマスク層は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、またはストロンチウムルテニウム酸化物を用いて形成される。
前記第2フォトレジストパターンをエッチングマスクとして用いて前記ハードマスク層を部分的にエッチングすることで、第2上部電極膜353上に強誘電体キャパシタ370(図13参照)を形成するためのハードマスク膜パターン359を形成する。
図13を参照すると、ハードマスクパターン359をエッチングマスクとして用いて上部電極層357、強誘電体層343、下部電極層337、及び接着層323を順序にエッチングすることで、絶縁構造物310及びパッド320上に順次に接着層パターン325、下部電極340、強誘電体層パターン345、及び上部電極360を形成する。下部電極340は、接着層パターン325上に順序に形成された第1下部電極膜パターン330及び第2下部電極膜パターン335を具備する。上部電極360は、強誘電体層パターン345上に順次に形成された第1上部電極膜パターン350及び第2上部電極膜パターン355を含む。強誘電体パターン345及び上部電極360を含む強誘電体キャパシタ370に対して追加的な熱処理工程を行って第1上部電極膜パターン350及び強誘電体層パターン345を構成する物質を結晶化することができる。
(強誘電体キャパシタの特性測定)
以下、添付された図面を参照して本発明の実験例及び比較例によって製造された強誘電体キャパシタの強誘電的及び電気的特性を測定した結果を説明する。
(実験例1)
基板上にスパッタリング工程を用いて第1下部電極膜及び第2下部電極膜を有する下部電極層を形成した。前記第1下部電極膜は、チタニウムアルミニウム窒化物を用いて形成したが、前記第2下部電極膜は、イリジウムを用いて形成した。前記下部電極層上に約575℃の温度にて有機金属化学気相蒸着工程でPZTを蒸着して強誘電体層を形成した。
前記強誘電体層上に約350℃の温度にてスパッタリング工程を用いてストロンチウムルテニウム酸化物を蒸着して第1上部電極膜を形成した。前記第1上部電極膜は、アルゴンガス雰囲気下で形成された。前記第1上部電極膜の厚さは、約50Åであった。
前記第1上部電極膜上に常温にてイリジウム及びルテニウムを同時にスパッタリングして第2上部電極膜を形成した。前記第2上部電極膜は、アルゴンガス雰囲気下で形成された。前記第2上部電極膜内のイリジウム及びルテニウムの含量は、それぞれ約40〜60原子量%であった。前記第2上部電極膜の厚さは約1000Å程度であった。
前記第1及び第2上部電極膜を有する上部電極層が形成された基板に対して約600℃の温度にて約60秒間急速熱処理工程を行った。前記急速熱処理工程は、酸素ガス雰囲気下で行われた。
前記上部電極層、前記強誘電体層、及び前記下部電極層をパターニングして、前記基板上に下部電極、強誘電体層パターン及び上部電極を含む強誘電体キャパシタを形成した。
(実施例2)
基板上にスパッタリング工程を用いて第1及び第2下部電極膜を有する下部電極層を形成した。前記第1下部電極膜は、チタニウムアルミニウム窒化物を用いて形成し、前記第2下部電極膜は、イリジウムを用いて形成した。前記下部電極層上に約575℃の温度にて有機金属化学気相蒸着工程でPZTを蒸着して強誘電体層を形成した。
前記強誘電体層上に約350℃でスパッタリング工程を用いてストロンチウムルテニウムを蒸着して第1上部電極膜を形成した。前記第1上部電極膜は、アルゴンガス雰囲気下で形成された。前記第1上部電極膜の厚さは約50Åであった。
前記第1上部電極膜上に常温でイリジウム及びルテニウムを同時にスパッタリングして第2上部電極膜を形成した。前記第2上部電極膜は、アルゴンガス雰囲気下で形成された。前記第2上部電極膜内のイリジウム及びルテニウムの含量はそれぞれ約50原子量%及び約50原子量%であった。前記第2上部電極膜の厚さは、約1000Åであった。
前記第1上部電極膜及び第2上部電極膜を有する上部電極層が形成された基板に対して約600℃の温度にて約60秒間急速熱処理工程を行った。前記急速熱処理工程は、酸素ガス雰囲気下で行われた。
前記上部電極層、前記強誘電体層及び前記下部電極層をパターニングして、前記基板上に下部電極、強誘電体層パターン及び上部電極を含む強誘電体キャパシタを形成した。
(比較例1)
基板上に第1及び第2下部電極膜を有する下部電極層を形成した。前記第1下部電極膜は、チタニウムアルミニウム窒化物をスパッタリング工程で蒸着して形成し、前記第2下部電極膜は、イリジウムをスパッタリング工程を用いて形成した。前記下部電極層上に約575℃の温度にて有機金属化学気相蒸着工程でPZTを蒸着して強誘電体層を形成した。
前記強誘電体層上に約350℃の温度でスパッタリング工程を用いてストロンチウムルテニウムを蒸着して第1上部電極膜を形成した。前記第1上部電極膜は、アルゴンガス雰囲気下で形成された。前記第1上部電極膜の厚さは約50Åであった。
前記第1上部電極膜上に常温でイリジウムをスパッタリングして第2上部電極膜を形成した。前記第2上部電極膜は、アルゴンガス雰囲気下で形成された。イリジウムのみで形成された前記第2上部電極膜の厚さ約600Åであった。
前記第1上部電極膜及び第2上部電極膜を有する上部電極層が形成された基板に対して約600℃の温度にて約60秒間急速熱処理工程を行った。前記急速熱処理工程は、酸素ガス雰囲気下で行われた。
前記上部電極層、前記強誘電体層及び前記下部電極層をパターニングして、前記基板上に下部電極、強誘電体層パターン及び上部電極を含む強誘電体キャパシタを形成した。
(比較例2)
基板上に第1及び第2下部電極膜を有する下部電極層を形成した。前記第1下部電極膜は、チタニウムアルミニウム窒化物をスパッタリング工程で蒸着して形成し、前記第2下部電極膜は、白金をスパッタリング工程を用いて形成した。前記下部電極層上に約575℃の温度にて有機金属化学気相蒸着工程でPZTを蒸着して強誘電体層を形成した。
前記強誘電体層上に約350℃の温度にてスパッタリング工程を用いてストロンチウムルテニウムを蒸着して第1上部電極膜を形成した。前記第1上部電極膜は、アルゴンガス雰囲気下で形成された。前記第1上部電極膜の厚さは約50Åであった。
前記第1上部電極膜上に常温でイリジウムをスパッタリングして第2上部電極膜を形成した。前記第2上部電極膜は、アルゴンガス雰囲気下で形成された。イリジウムのみで形成された前記第2上部電極膜の厚さ約600Åであった。前記第1上部電極膜及び第2上部電極膜を有する上部電極層が形成された基板に対して約600℃の温度にて約60秒間急速熱処理工程を行った。前記急速熱処理工程は、酸素ガス雰囲気下で行われた。
前記上部電極層、前記強誘電体層及び前記下部電極層をパターニングして、前記基板上に下部電極、強誘電体層パターン及び上部電極を含む強誘電体キャパシタを形成した。
図15は、本発明の実験例1による強誘電体キャパシタの第1上部電極膜と第2上部電極膜との間に発生するストレスを示すグラフである。
図15を参照すると、実施例1による強誘電体キャパシタの第1上部電極膜と第2上部電極膜と間に発生するストレスは、約2.69×10dyne/cm程度であって、図2に示した従来の強誘電体キャパシタの場合に比べて約1/10以下に減少することがわかる。また、図2に示したように、従来の強誘電体キャパシタの場合には、発生するストレスが熱処理工程の前後を通じて圧縮応力から引用応力に大きく変わる。しかし、図15に示したように、本発明の第1による強誘電体キャパシタにおいては、発生するストレスが熱処理工程の前後で全て圧縮応力で大きく変化しないことがわかる。したがって、実験例1による強誘電体キャパシタは、強誘電体層パターンと上部電極との間にデッドレイヤー(dead layer)に該当する界面層が形成することを抑制して向上された強誘電的特性を有する。
図16は、実施例1による強誘電体キャパシタの第1上部電極膜と第2上部電極膜との間に発生したストレスと、比較例1による強誘電体キャパシタの第1上部電極膜と第2上部電極膜との間に発生したストレスを示すグラフである。図16において、“I”は比較例1による強誘電体キャパシタの第1上部電極膜と第2上部電極膜との間に発生したストレスを示し、“II”は実験例1による強誘電体キャパシタの第1上部電極膜と第2上部電極膜との間に発生したストレスを示す。図17は、比較例1による強誘電体キャパシタの断面を電子顕微鏡を用いて撮影した写真である。
図16を参照すると、実験例1による強誘電体キャパシタが比較例1による強誘電体キャパシタに比べて温度変化によりストレスの変化が著しく小さく示された。このような結果は、図17に示したように、比較例1による強誘電体キャパシタの場合には、ストロンチウムルテニウム酸化物で構成された第1上部電極膜とイリジウムからなる第2上部電極膜を含む上部電極と強誘電体層パターンとの間にストレスによる浮き上がり(リフティング)のような欠陥(III)が生成されることが分かる。
図18は、比較例1による強誘電体キャパシタの印加される電圧による分極を示す分極−電圧履歴曲線(P−Vヒステリシス)を示すグラフであり、図19は、比較例2による強誘電体キャパシタの印加される電圧による分極を示す分極−電圧履歴曲線を示すグラフである。
図18において、+Vcは約0.65Vであり、−Vcは約0.45Vであり、+2Prは約41μC/cm程度であり、−2Prは約−40μC/cmであった。図18を参照すると、比較例1による強誘電体キャパシタは、相対的には優秀な分極特性を示すが、上部電極と強誘電体層パターンとの間に発生されるストレスによって+Vcの値と−Vcの値とが非対称になることという劣化した強誘電的特性を示す。
図19において、+Vcは約0.87Vであり、−Vcは約0.23Vであり、+2Prは約39μC/cm程度であり、−2Prは約−38μC/cmであった。図19を参照すると、比較例2による強誘電体キャパシタは、上部電極と強誘電体層パターンとの間に発生するストレスによって分極特性も劣化するだけでなく、+Vcの値と−Vcの値とが大きく非対称になるという、大きく低下した強誘電的特性を示す。特に、図19に示した比較例2による強誘電体キャパシタの場合には、過度なストレスによって時間が経過することによって分極−電圧履歴曲線の正シフト(ポジティブシフト)が深刻化する現象を示すので、このような強誘電体キャパシタは信頼性をテストする工程にて失格(不合格)になる可能性が非常に高くなる。
図20は、実験例1及び比較例1による強誘電体キャパシタの分極−電圧履歴曲線を比較したグラフである。図20において、“IV”は比較例1による強誘電体キャパシタの分極−電圧履歴曲線を示し、“V”は、実験例1による強誘電体キャパシタの分極−電圧履歴曲線を意味する。
図20を参照すると、比較例1による強誘電体キャパシタ(IV)において、印加された電圧が約1.2Vである場合、2Pr値は約42.3μC/cm程度であった。これに対して、実験例1による強誘電体キャパシタ(V)の場合には、印加された電圧が約1.14Vである場合、2Pr値が約46.38μC/cmであった。
図21は、実験例1及び比較例1による強誘電体キャパシタが印加された電圧による最大分極値及び最小分極値を測定したグラフである。図21において、“IV””は比較例1による強誘電体キャパシタの最大分極を示し、“IV’”は比較例1による強誘電体キャパシタの最小分極を示す。また、“V””は実験例1による強誘電体キャパシタの最大分極を示し、“V’”は、実験例1による強誘電体キャパシタの最小分極を示す。また、図22は、実験例1及び比較例1による強誘電体キャパシタの印加された電圧による2Pr値を測定したグラフである。図22において、“VI”は、比較例1による強誘電体キャパシタの2Pr値を示し、“VII”は、実験例1による強誘電体キャパシタの2Pr値を示す。
図21及び図22を参照すると、印加された電圧が約2.0V程度である場合、比較例1による強誘電体キャパシタの最大分極値(IV”)は約69μC/cmであり、最小分極値(IV’)は約17μC/cmであるので、比較例1による強誘電体キャパシタの2Pr値(VI)は、約52μC/cmであった。これに対して、実験例1による強誘電体キャパシタの最大分極値(V”)は、約71μC/cmであり、最小分極値(V’)は約15μC/cmとして実験例1による強誘電体キャパシタの2Pr値は約56程度μC/cmであった。
図20乃至図22に示したように、イリジウムルテニウム合金で構成された第2上部電極膜を含む実験例1による強誘電体キャパシタがイリジウムのみで構成された第2上部電極膜を具備する比較例1による強誘電体キャパシタに比べて優秀な強誘電的特性を有することがわかる。
図23は、実験例1による強誘電体キャパシタのプログラミングサイクルによる分極の変化を示すグラフである。図23において、“■”は最大分極値を示し、“●”は最小分極値を示し、“▲”は2Pr値を示す。図23に示した実験例1による強誘電体キャパシタの最小分極値及び最大分極値は、約85℃の温度で下部電極に約1.6V程度の電圧を印加しながら約1.17×1010回程度のプログラミングサイクルを行った後に測定した結果である。また、図24は、実験例1による強誘電体キャパシタのプログラミングサイクルによる分極−電圧履歴曲線の変化を示すグラフである。図24において、“VIII”は、プログラミングを行う前に、強誘電体キャパシタ分極−電圧履歴を示し、“IX”は、約1.17×1010回程度のプログラミングサイクルを行った後の強誘電体キャパシタの分極−電圧履歴曲線を示す。
図23及び図24を参照すると、実験例1による強誘電体キャパシタの−Prの値は、約−48.293μC/cmから約−46.594μC/cm程度であって、約1.17×1010回程度のプログラミングサイクルを行った後にも約96.7%程度の−2Pr値を維持した。
図25は、比較例1による強誘電体キャパシタの時間による分極値の変化を示すグラフであり、図26は、実験例1による強誘電体キャパシタの時間による分極値を示すグラフである。図25及び図26において、“A”及び“A’”は、それぞれ約150℃の温度にて約48時間が経過した後の比較例1及び実験例1による強誘電体キャパシタの分極値を示し、“B”及び“B’”は、それぞれ約150℃の温度にて約67.5時間が経過した後の比較例1及び実験例1による強誘電体キャパシタの分極値を示す。また、“C”または“C’”は、それぞれ約150℃の温度にて約115.5時間が経過した後の比較例1及び実験例1による強誘電体キャパシタの分極値を示す。
図25を参照すると、比較例1による強誘電体キャパシタの各テストに対して分極値は最初の分極値からそれぞれ96.5%(A)、約94.2%(B)、及び約90.5%(C)まで減少した。しかし、図26に示したように、実験例1による強誘電体キャパシタは、各テストに対して最初の分極値からそれぞれ約97.5%(A’)、約96.7%(B’)、及び約94.4%(C’)に減少した。
図25及び図26に示したように、実験例1による強誘電体キャパシタの分極保持特性が比較例1による強誘電体キャパシタの分極保持特性に比べて更に優秀であることがわかる。これによって、実験例1による強誘電体キャパシタのデータ保持力などのような電気的な特性が比較例1による強誘電体キャパシタの電気的特性に比べて改善されることがわかる。
(半導体装置及びそれの製造方法)
図27は、本発明の一実施例による半導体装置の断面を示した図である。
図27を参照すると、FRAM装置のような前記半導体装置は、基板400に形成された第1コンタクト領域435及び第2コンタクト領域440、基板400上に形成されたゲート構造物430、 第1コンタクト領域435及び第2コンタクト領域440に接触される第1パッド450及び第2パッド455、第2パッド455上に形成された下部配線470、第1パッド450上に形成された第3パッド480、第3パッド480に連結される強誘電体キャパシタ525、強誘電体キャパシタ525上に形成される層間絶縁膜及び配線ラインを含む。
図28乃至図30は、図27に示した半導体装置の製造方法を説明するための断面図である。
図28を参照すると、シャロートレンチ素子分離(STI)工程またはシリコン部分酸化法(LOCOS)などのような素子分離工程を用いて半導体基板400上に素子分離膜405を形成することで、半導体基板400にアクティブ領域及びフィールド領域を定義する。半導体基板400は、シリコンウエハまたはSOI基板を含む。
熱酸化法や化学気相蒸着工程で素子分離膜405が形成された半導体基板400上に薄い厚さのゲート酸化膜を形成した後、前記ゲート酸化膜上にゲート導電膜及びゲートマスク層を順次形成する。前記ゲート導電膜は、不純物でドープされたポリシリコンを用いて形成され、前記ゲートマスク層は、シリコン窒化物のような窒化物を用いて形成される。
前記ゲートマスク層上に第1フォトレジストパターン(図示せず)を形成した後、前記第1フォトレジストパターンをエッチングマスクとして用いて前記ゲートマスク層、前記ゲート導電膜及び前記ゲート酸化膜を順次エッチングすることで、半導体基板400上にそれぞれゲート酸化膜パターン410、ゲート電極415、及びゲートマスク420を含むゲート構造物430を形成する。
前記ゲート構造物430が形成された半導体基板400上にシリコン窒化物のような窒化物からなる第1絶縁膜を形成した後、前記第1絶縁膜を異方性エッチングして各ゲート構造物430の側面にゲートスペーサ425を形成する。
ゲートスペーサ425が形成されたゲート構造物430をイオン注入マスクとして用いてゲート構造物430の間に露出される半導体基板400にイオン注入工程で不純物を注入することで、半導体基板400にソース/ドレイン領域に該当する第1コンタクト領域435及び第2コンタクト領域440を形成する。第1コンタクト領域435及び第2コンタクト領域440は、強誘電体キャパシタ525(図29を参照)のための第1パッド450と下部配線470のための第2パッド455がそれぞれ接触されるキャパシタコンタクト領域及び下部配線コンタクト領域に区分する。これによって、半導体基板400上にはそれぞれゲート構造物430、ゲートスペーサ425、および第1コンタクト領域435及び第2コンタクト領域440を含むトランジスタが形成される。
再び図28を参照すると、ゲート構造物430を覆い、かつ半導体基板400上に酸化物を用いて第1層間絶縁膜445を形成する。第1層間絶縁膜445は、BPSG、PSG、SOG、PE−TEOS、USG、またはHDP−CVD酸化物を化学気相蒸着工程、プラズマ増大化学気相蒸着工程、高密度化学気相蒸着工程、または原子層積層工程で蒸着して形成される。
化学機械的研磨工程、エッチバック工程、または化学機械的研磨とエッチバックとを組み合わせた工程を用いて第1層間絶縁膜445の上部を除去することで、第1層間絶縁膜445の上面を平坦化させる。
第1層間絶縁膜445上に、第2フォトレジストパターン(図示せず)を形成した後、前記第2フォトレジストパターンをエッチングマスクとして用いて第1層間絶縁膜445を部分的に異方性エッチングすることで、第1層間絶縁膜445に第1コンタクト領域435及び第2コンタクト領域440を露出させる第1コンタクトホール(図示せず)を形成する。前記第1コンタクトホールの一部は、第1コンタクト領域435を露出させ、前記第1コンタクトホールの他の部分は、第2コンタクト領域440を露出させる。
前記第2フォトレジストパターンをアッシング及び/またはストリップ工程を通じて除去した後、第1コンタクト領域435及び第2コンタクト領域440を露出させる前記第1コンタクトホールを満たし、かつ第1層間絶縁膜445上に第1導電膜を形成する。前記第1導電膜は、高濃度の不純物でドープされたポリシリコン、または金属を用いて形成する。
化学機械的研磨工程、エッチバック工程、または化学機械的研磨とエッチバックとを組み合わせた工程を用いて第1層間絶縁膜445の上面が露出されるまで、前記第1導電膜を部分的に除去することで、それぞれ前記第1コンタクトホールを満たす自己整列されたコンタクト(SAC)パッドである第1パッド450及び第2パッド455を形成する。第1パッド450は、キャパシタコンタクト領域である第1コンタクト領域435に接触され、第2パッド455は下部配線コンタクト領域である第2コンタクト領域440に接触される。
第1パッド450及び第2パッド455を含む第1層間絶縁膜445上に第2層間絶縁膜460を形成する。第2層間絶縁膜460は、後続して形成される下部配線470と第1パッド450を電気的に絶縁させる。第2層間絶縁膜460は、BPSG、PSG、SOG、PE−TEOS、USG、またはHDP−CVD酸化物を化学気相蒸着工程、プラズマ増大化学気相蒸着工程、高密度プラズマ化学気相蒸着工程、または原子層積層工程で蒸着して形成する。
化学機械的研磨工程、エッチバック工程、または化学機械的研磨とエッチバックとを組み合わせた工程を用いて第2層間絶縁膜460を部分的に除去することで、第2層間絶縁膜460の上面を平坦化させる。
第2層間絶縁膜460上に第3フォトレジストパターン(図示せず)を形成した後、前記第3フォトレジストパターンをエッチングマスクとして用いて第2層間絶縁膜460を部分的にエッチングすることで、第2層間絶縁膜460に、第1層間絶縁膜445に満たされた第2パッド455を露出させる第2コンタクトホール465を形成する。
図29を参照すると、前記第3フォトレジストパターンをアッシング及び/またはストリップ工程を用いて除去した後、第2コンタクトホール465を満たし、かつ第2層間絶縁膜460上に第2導電膜を形成する。
前記第2導電膜上に第4フォトレジストパターン(図示せず)を形成した後、前記第4フォトレジストパターンをエッチングマスクとして用いて前記第2導電膜をエッチングすることで、第2コンタクトホール465を満たし、かつ第2層間絶縁膜460上に下部配線470を形成する。
化学気相蒸着工程、プラズマ増大化学気相蒸着工程、高密度プラズマ気相蒸着工程、または原子層積層工程を用いて下部配線470を覆い、かつ第2層間絶縁膜460上に第3層間絶縁膜475を形成する。第3層間絶縁膜475は、BPSG、PSG、SOG、PE−TEOS、USG、またはHDP−CVD酸化物を用いて形成される。
化学機械的研磨工程、エッチバック工程、または化学機械的研磨とエッチバックとを組み合わせた工程で第3層間絶縁膜475を部分的に除去することで第3層間絶縁膜475の上面を平坦化させる。
第3層間絶縁膜475上に第5フォトレジストパターン(図示せず)を形成した後、前記第5フォトレジストパターンをエッチングマスクとして用いて第3層間絶縁膜475及び第2層間絶縁膜460を部分的にエッチングすることで、第1パッド450を露出させる第3コンタクトホール(図示せず)を形成する。前記第3コンタクトホールは、それぞれキャパシタコンタクトホールに該当する。本発明の他の実施例によると、前記第3コンタクトホールを形成した後、追加的な洗浄工程を行って前記第3コンタクトホールを通じて露出される第1パッド450の表面に存在する自然酸化膜やポリマーまたは各種異物などを除去することができる。
再び図29を参照すると、前記第3コンタクトホールを満たし、かつ第3層間絶縁膜475上に第3導電膜を形成した後、化学機械的研磨工程、エッチバック工程、または、これらを組み合わせた工程を用いて第3層間絶縁膜475の上面が露出されるまで前記第3導電膜を部分的に除去することで、前記第3コンタクトホール内にそれぞれ第3パッド480を形成する。第3パッド480は、大体不純物でドープされたポリシリコンからなり、第1パッド450と後続して形成される下部電極515とを互いに電気的に連結させる役割を果たす。下部電極515は、第3パッド480及び第1パッド450を通じて第1コンタクト領域435に電気的に連結される。
第3パッド480及び第3層間絶縁膜475上に第1下部電極膜及び第2下部電極膜を順次形成する。前記第1下部電極膜は、第1金属窒化物を電子ビーム蒸着工程、化学気相蒸着工程、スパッタリング工程、パルスレーザー蒸着工程、または原子層積層工程で蒸着して形成される。前記第2下部電極膜は、第1金属、第1金属酸化物及び/または第1合金を電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、パルスレーザー蒸着工程、または原子層積層工程で蒸着して形成する。本発明の他の実施例によると、前記第1下部電極膜を形成する前に、第3パッド480及び第3層間絶縁膜475上に金属または金属酸化物を用いて接着層を形成することができる。
前記第2下部電極膜上に強誘電体層を形成する。前記強誘電体層は、強誘電性物質や金属がドープされた強誘電性物質または強誘電体性を有する金属酸化物を有機金属化学気相蒸着工程、液相エピタキシ工程、ゾルゲル工程、化学気相蒸着工程、または原子層積層工程で蒸着して形成される。
前記強誘電体層上に第2金属酸化物を用いて第1上部電極膜を形成した後、前記第1上部電極膜上に第2合金を用いて第2上部電極膜を形成する。
前記第2上部電極膜を形成した後、前記第1及び第2上部電極膜を酸素ガス、窒素ガス、またはこれらの混合ガス雰囲気下で急速熱処理工程で熱処理する。
再び図29を参照すると、前記第1上部電極上にハードマスク(図示せず)を形成した後、前記ハードマスクをエッチングマスクとして用いて、前記第1上部電極膜、前記第1上部電極膜、前記強誘電体層、前記第2下部電極膜、及び前記第1下部電極膜を順序にパターニングすることで、第3パッド480及び第3層間絶縁膜475上に下部電極515、強誘電体層パターン495、及び上部電極520を含む強誘電体キャパシタ525を形成する。下部電極515は、第1下部電極膜パターン485及び第2下部電極膜パターン490を具備し、上部電極520は、第1上部電極膜パターン500及び第2上部電極膜パターン505を含む。前述したエッチング工程を通じて、強誘電体キャパシタ525は、全体的に半導体基板400に水平な方向に対して約80°〜90°の大きい角度に傾いた側壁を有する。
強誘電体キャパシタ525を覆い、かつ第3層間絶縁膜475上に障壁層510を形成する。障壁層510は、金属酸化物または金属窒化物を電子ビーム蒸着工程、化学気相蒸着工程、原子層積層工程、またはスパッタリング工程で積層して形成される。障壁層510は、水素の拡散を抑制して強誘電体層パターン495の特性が低下することを防止する役割を果たす。
再び図29を参照すると、障壁層510上に第4層間絶縁膜530を形成する。第4層間絶縁膜530は、BPSG、PSG、SOG、PE−TEOS、USG、またはHDP−CVD酸化物を化学気相蒸着工程、プラズマ増大化学気相蒸着工程、高密度プラズマ化学気相蒸着工程、または原子層積層工程で蒸着して形成される。
化学機械的研磨工程、エッチバック工程、または化学機械的研磨とエッチバックとを組み合わせた工程を用いて上部電極520が露出されるまで第4層間絶縁膜530及び障壁層510を部分的に除去する。
第4層間絶縁膜530及び露出された上部電極520上に化学気相蒸着工程、スパッタリング工程、または原子層積層工程を用いて第4導電膜を形成する。前記第4導電膜は、金属、導電性金属酸化物、または導電性金属窒化物を用いて形成する。
前記第4導電膜上に第6フォトレジストパターン(図示せず)を形成した後、前記第6フォトレジストパターンをエッチングマスクとして用いて前記第4導電膜をエッチングすることで、上部電極520に接触されるローカルプレートライン535を形成する。ローカルプレートライン535は、隣接する強誘電体キャパシタ525の上部電極520に共通的に接触される。
ローカルプレートライン535及び第4層間絶縁膜530上に第5層間絶縁膜540を形成する。第5層間絶縁膜540は、BPSG、PSG、SOG、PE−TEOS、USG、またはHDP−CVD酸化物を化学気相蒸着工程、プラズマ増大化学気相蒸着工程、高密度プラズマ化学気相蒸着工程、または原子層積層工程で蒸着して形成する。
図30を参照すると、第5層間絶縁膜540上に金属または導電性金属窒化物をスパッタリング工程、原子層積層工程、または化学気相蒸着工程で蒸着して第5導電膜を形成する。
前記第5導電膜上に第7フォトレジストパターン(図示せず)を形成した後、前記第7フォトレジストパターンをエッチングマスクとして用いて前記第5導電膜をエッチングすることで、第5層間絶縁膜540上に部分的に上部配線545を形成する。
前記第1上部配線545及び第5層間絶縁膜540上に第6層間絶縁膜550を形成する。第6層間絶縁膜550は、BPSG、PSG、SOG、PE−TEOS、USG、またはHDP−CVD酸化物を化学気相蒸着工程、プラズマ増大化学気相蒸着工程、高密度プラズマ化学気相蒸着工程、または原子層積層工程で蒸着して形成する。
第6層間絶縁膜550上に第8フォトレジストパターン(図示せず)を形成した後、前記第8フォトレジストパターンをエッチングマスクとして用いて第6層間絶縁膜550及び第5層間絶縁膜540を部分的にエッチングすることで、ローカルプレートライン535を露出させる。
露出されたローカルプレートライン535上に第6導電膜を形成する。前記第6導電膜は、アルミニウム、チタニウム、タングステン、チタニウム窒化物、チタニウムアルミニウム窒化物などをスパッタリング工程、原子層積層工程、または化学気相蒸着工程で蒸着して形成される。
前記第6導電膜上に第9フォトレジストパターン(図示せず)を形成した後、前記第9フォトレジストパターンをエッチングマスクとして用いて前記第6導電膜をエッチングすることで、ローカルプレートライン535に接触されるメインプレートライン555を形成する。これによって、半導体基板400上には、強誘電体キャパシタ525を含む半導体装置が完成する。
以上、本発明の実施例によって詳細に説明したが、本発明はこれに限定されず、本発明が属する技術分野において通常の知識を有するものであれば本発明の思想と精神を離脱することなく、本発明を修正または変更できる。
従来の強誘電体キャパシタの断面図である。 図1に示した従来のキャパシタの上部電極に対する熱処理温度によるストレスを示すグラフである。 本発明の一実施例による強誘電体構造物の断面図である。 本発明の他の実施例による強誘電体構造物の断面図である。 本発明の一実施例による強誘電体キャパシタの断面図である。 本発明の一実施例による強誘電体キャパシタの製造方法を説明するための工程順序図である。 図6に示した強誘電体キャパシタの製造方法を説明するための断面図である。 図6に示した強誘電体キャパシタの製造方法を説明するための断面図である。 図6に示した強誘電体キャパシタの製造方法を説明するための断面図である。 図6に示した強誘電体キャパシタの製造方法を説明するための断面図である。 本発明の他の実施例による強誘電体キャパシタの断面図である。 図11に示した強誘電体キャパシタの製造方法を説明するための断面図である。 図11に示した強誘電体キャパシタの製造方法を説明するための断面図である。 図11に示した強誘電体キャパシタの製造方法を説明するための断面図である。 本発明の実験例1による強誘電体キャパシタの第1上部電極膜と第2上部電極との間に発生するストレスを示すグラフである。 実験例1による強誘電体キャパシタの第1上部電極膜と第2上部電極膜との間に発生するストレスと、比較例1による強誘電体キャパシタの第1及び第2上部電極膜との間に発生するストレスを示すグラフである。 比較例1による強誘電体キャパシタの断面を電子顕微鏡を用いて撮影した写真である。 比較例1による強誘電体キャパシタに印加される電圧による分極を示す分極−電圧履歴曲線を示すグラフである。 比較例2による強誘電体キャパシタに印加される電圧による分極を示す分極−電圧履歴曲線を示すグラフである。 実験例1及び比較例1による強誘電体キャパシタの分極−電圧履歴曲線を比較したグラフである。 実験例1及び比較例1による強誘電体キャパシタの印加された電圧による最大分極値及び最小分極値を測定したグラフである。 実験例1及び比較例1による強誘電体キャパシタの印加された電圧による2Pr値を測定したグラフである。 実験例1による強誘電体キャパシタのプログラミングサイクルによる分極の変化を示すグラフである。 実験例1による強誘電体キャパシタのプログラミングサイクルによる分極−電圧履歴曲線の変化を示すグラフである。 比較例1による強誘電体キャパシタの時間による分極値の変化を示すグラフである。 実験例1による強誘電体キャパシタの時間による分極値の変化を示すグラフである。 本発明の一実施例による半導体装置の断面図である。 図27に示した半導体装置の製造工程を説明するための断面図である。 図27に示した半導体装置の製造工程を説明するための断面図である。 図27に示した半導体装置の製造工程を説明するための断面図である。
符号の説明
100、155、223、327 第1下部電極膜
105、160、227、333 第2下部電極膜
110、165、235、340、515 下部電極
115、170、237、343 強誘電体層
120、175、243、347 第1上部電極膜
125、180、247、353 第2上部電極膜
130、185、255、357、520 上部電極
140、190 強誘電体構造物
150、323 接着層
200、300 基板
205、305 下部構造物
210、310 絶縁構造物
220、320 パッド
225、330、485 第1下部電極膜パターン
230、335、490 第2下部電極膜パターン
240、345、495 強誘電体層パターン
245、350、500 第1上部電極膜パターン
250、355、505 第2上部電極膜パターン
257、359 ハードマスクパターン
260、360、525 強誘電体キャパシタ
325 接着層パターン
400 半導体基板
405 素子分離膜
410 ゲート酸化膜パターン
415 ゲート電極
420 ゲートマスク
425 ゲートスペーサ
430 ゲート構造物
435 第1コンタクト領域
440 第2コンタクト領域
445 第1層間絶縁膜
450 第1パッド
455 第2パッド
460 第2層間絶縁膜
470 下部配線
475 第3層間絶縁膜
480 第3パッド
510 障壁層
530 第4層間絶縁膜
535 ローカルプレートライン
540 第5層間絶縁膜
545 上部配線
550 第6層間絶縁膜
555 メインプレートライン

Claims (46)

  1. 第1金属窒化物を含む第1下部電極膜と、前記第1下部電極膜上に形成され、第1金属、第1金属酸化物、及び第1合金からなる群より選択された少なくとも一つを含む第2下部電極膜と、を有する下部電極と、
    前記下部電極上に形成された強誘電体層と、
    前記強誘電体層上に形成され、第2金属酸化物を含む第1上部電極膜と、前記第1上部電極膜上に形成され、第2合金を含む第2上部電極膜と、を有する上部電極と、を具備することを特徴とする強誘電体構造物。
  2. 前記第1下部電極膜は、チタニウム窒化物、アルミニウム窒化物、チタニウムアルミニウム窒化物、タンタル窒化物、タングステン窒化物、チタニウムシリコン窒化物、及びタンタルシリコン窒化物からなる群より選択された少なくとも一つを含むことを特徴とする請求項1記載の強誘電体構造物。
  3. 前記第2下部電極膜は、イリジウム、白金、ルテニウム、パラジウム、イリジウム酸化物、ルテニウム酸化物、ストロンチウムルテニウム酸化物、及びイリジウムルテニウム合金からなる群より選択された少なくとも一つを含むことを特徴とする請求項1記載の強誘電体構造物。
  4. 前記第2下部電極膜は、前記第1金属及び前記第1金属酸化物を含む二重膜構造を有することを特徴とする請求項1記載の強誘電体構造物。
  5. 前記第1金属酸化物及び前記第1合金は、それぞれ前記第2金属酸化物及び前記第2合金と同一であることを特徴とする請求項1記載の強誘電体構造物。
  6. 前記強誘電体層は、BaTiO、PZT、SBT、BLT、PLZT、及びBSTからなる群より選択されたいずれか一つの強誘電体物質を含むことを特徴とする請求項1記載の強誘電体構造物。
  7. 前記第1上部電極膜は、インジウムスズ酸化物、イリジウム酸化物、ストロンチウムルテニウム酸化物、ストロンチウムチタニウム酸化物、ランタンニッケル酸化物、及びカルシウムルテニウム酸化物からなる群より選択されたいずれか一つを含むことを特徴とする請求項1記載の強誘電体構造物。
  8. 前記第2上部電極膜は、イリジウムルテニウム合金、イリジウム白金合金、イリジウムパラジウム合金、ルテニウム白金合金、ルテニウムパラジウム合金、及び白金パラジウム合金からなる群より選択されたいずれか一つを含むことを特徴とする請求項1記載の強誘電体構造物。
  9. 前記第2上部電極膜は、30〜50原子量%のイリジウム及び50〜70原子量%のルテニウムを含むことを特徴とする請求項8記載の強誘電体構造物。
  10. 前記第2上部電極膜内のイリジウムとルテニウムの含量比は、1:1.0〜1:1.4であることを特徴とする請求項8記載の強誘電体構造物。
  11. 前記第1下部電極膜の下に形成され、第2金属または第2金属窒化物を含む接着層を更に具備することを特徴とする請求項1記載の強誘電体構造物。
  12. 前記接着層は、チタニウム、タンタル、アルミニウム、タングステン、チタニウム窒化物、タンタル窒化物、アルミニウム窒化物、及びタングステン窒化物からなる群より選択された少なくとも一つを含むことを特徴とする請求項11記載の強誘電体構造物。
  13. 基板、及び、前記基板上に形成された下部構造体を含み、前記下部電極は、前記下部構造物に電気的に連結されて強誘電体キャパシタを提供することを特徴とする請求項1記載の強誘電体構造物。
  14. 前記第2下部電極膜は、前記第1金属及び前記第1金属酸化物を含む二重膜構造を有することを特徴とする請求項13記載の強誘電体構造物。
  15. 前記第1上部電極膜は、インジウムスズ酸化物、イリジウム酸化物、ストロンチウムルテニウム酸化物、ストロンチウムチタニウム酸化物、ランタンニッケル酸化物、及びカルシウムルテニウム酸化物からなる群より選択されたいずれか一つを含み、
    前記第2上部電極膜は、イリジウムルテニウム合金、イリジウム白金合金、イリジウムパラジウム合金、ルテニウム白金合金、ルテニウムパラジウム合金からなる群より選択されたいずれか一種を含むことを特徴とする請求項13記載の級誘電体構造物。
  16. 前記第2上部電極膜は、30〜50原子量%のイリジウム、及び50〜70原子量%のルテニウムを含有するイリジウムルテニウム合金を含むことを特徴とする請求項13記載の強誘電体構造物。
  17. 前記下部構造物を覆う絶縁構造物と、
    前記絶縁構造物と前記第1下部電極膜との間に形成され、チタニウム、タンタル、アルミニウム、タングステン、チタニウム窒化物、タンタル窒化物、アルミニウム窒化物、及びタングステン窒化物からなる群より選択された少なくとも一つを含む接着層と、を更に具備することを特徴とする請求項13記載の強誘電体構造物。
  18. コンタクト領域が形成された基板と、
    前記基板上に形成された少なくとも一つの絶縁膜と、
    前記絶縁膜を貫通して前記コンタクト領域に接触される少なくとも一つのパッドを更に含み、前記下部電極は前記パッド及び前記絶縁膜上に配置されることを特徴とする請求項1記載の強誘電体構造物。
  19. 前記第1金属酸化物及び前記第1合金は、それぞれ前記第2金属酸化物及び前記第2合金と同一であることを特徴とする請求項18記載の強誘電体構造物。
  20. 前記第1上部電極膜は、インジウムスズ酸化物、イリジウム酸化物、ストロンチウムルテニウム酸化物、ストロンチウムチタニウム酸化物、ランタンニッケル酸化物、及びカルシウムルテニウム酸化物からなる群より選択されたいずれか一つを含み、
    前記第2上部電極膜は、イリジウムルテニウム合金、イリジウム白金合金、イリジウムパラジウム合金、ルテニウム白金合金、ルテニウムパラジウム合金、及び白金パラジウム合金からなる群より選択されたいずれか一つを含むことを特徴とする請求項18記載の強誘電体構造物。
  21. 前記第2上部電極膜は、30〜50原子量%のイリジウム及び50〜70原子量%のルテニウムを含むことを特徴とする請求項18記載の強誘電体構造物。
  22. 前記絶縁膜と前記第1下部電極膜との間に形成され、第2金属または第2金属窒化物を含む接着層を更に具備することを特徴とする請求項18記載の強誘電体構造物。
  23. チタニウムアルミニウム窒化物及びイリジウムを含む下部電極と、
    前記下部電極上に形成され、PZTを含む強誘電体層と、
    前記強誘電体層上に形成され、30〜50原子量%のイリジウム及び50〜70原子量%のルテニウムを含有するイリジウムルテニウム合金と、ストロンチウムルテニウム酸化物と、を含む上部電極を具備する強誘電体構造物。
  24. 前記下部電極は、
    前記チタニウムアルミニウム窒化物を含む第1下部電極膜と、
    前記第1下部電極膜上に形成され、前記イリジウムを含む第2下部電極膜と、を具備することを特徴とする請求項23記載の強誘電体構造物。
  25. 前記第1下部電極膜の下に形成され、チタニウムを含む接着層をさらに具備することを特徴とする請求項24記載の強誘電体構造物。
  26. 前記上部電極は、
    前記強誘電体層上に形成され、前記ストロンチウムルテニウム酸化物を含む第1上部電極膜と、
    前記第1上部電極膜上に形成され、前記イリジウムルテニウム合金を含む第2上部電極膜と、を具備することを特徴とする請求項23記載の強誘電体構造物。
  27. 基板、及び、前記基板上に形成された下部構造体を含み、前記下部電極は、前記下部構造物に電気的に連結されて強誘電体キャパシタを提供することを特徴とする請求項23記載の強誘電体構造物。
  28. 前記下部電極は、
    前記下部構造物に電気的に連結され、前記チタニウムアルミニウム窒化物を含む第1下部電極膜パターンと、
    前記第1下部電極膜パターン上に形成され、前記イリジウムを含む第2下部電極膜パターンと、を具備することを特徴とする請求項27記載の強誘電体構造物。
  29. 前記下部構造物を覆う絶縁構造物と、
    前記絶縁構造物と前記第1下部電極膜パターンとの間に形成され、チタニウムを含む接着層パターンと、を更に具備することを特徴とする請求項28記載の強誘電体構造物。
  30. 前記上部電極は、
    前記強誘電体層上に形成され、前記ストロンチウムルテニウム酸化物を含む第1上部電極膜パターンと、
    前記第1上部電極膜パターン上に形成され、前記イリジウムルテニウム合金を含む第2上部電極膜パターンと、を具備することを特徴とする請求項27記載の強誘電体構造物。
  31. 第1金属酸化物を用いて第1下部電極膜を形成する段階と、
    第1金属、第1金属酸化物、及び第1合金からなる群より選択された少なくとも一つを用いて第2下部電極膜を前記第1下部電極膜上に形成する段階と、
    前記第2下部電極膜上に強誘電体層を形成する段階と、
    前記強誘電体層上に第2金属酸化物を用いて第1上部電極膜を形成する段階と、
    前記第1上部電極膜上に第2合金を用いて第2上部電極膜を形成する段階と、を含むことを特徴とする強誘電体構造物の製造方法。
  32. 前記第1下部電極膜は、電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程を用いて形成されることを特徴とする請求項31記載の強誘電体構造物の製造方法。
  33. 前記強誘電体層は、ゾルゲル工程、有機金属化学気相蒸着工程、原子層積層工程、液相エピタキシ工程、またはパルスレーザー蒸着工程を用いて形成されることを特徴とする請求項31記載の強誘電体構造物の製造方法。
  34. 前記第1上部電極膜は、電子ビーム蒸着工程、スパッタリング工程、化学気相蒸着工程、原子層積層工程、またはパルスレーザー蒸着工程を用いて形成されることを特徴とする請求項31記載の強誘電体構造物の製造方法。
  35. 前記第2上部電極膜は、スパッタリング工程を用いて形成されることを特徴とする請求項31記載の強誘電体構造物の製造方法。
  36. 前記第2上部電極膜は、イリジウムターゲット及びルテニウムターゲットからイリジウム及びルテニウムを同時にスパッタリングして形成することを特徴とする請求項35記載の強誘電体構造物の製造方法。
  37. 前記第2上部電極膜は、イリジウムルテニウム合金ターゲットからイリジウムルテニウム合金をスパッタリングして形成することを特徴とする請求項35記載の強誘電体構造物の製造方法。
  38. 前記第1上部電極膜及び第2上部電極膜を熱処理する段階を更に含むことを特徴とする請求項31記載の強誘電体構造物の製造方法。
  39. 前記第1上部電極膜及び第2上部電極膜は、酸素ガス、窒素ガス、または酸素及び窒素の混合ガスの雰囲気下で500℃〜700℃の温度にて30秒〜2分間熱処理されることを特徴とする請求項41記載の強誘電体構造物の製造方法。
  40. 前記第1下部電極膜を形成する前に、基板上に下部構造物を形成する段階を更に含み、前記第1下部電極膜は、前記第1金属窒化物を用いて前記下部構造物に電気的に連結されるように形成され、
    前記第2上部電極膜を形成した後に前記第2上部電極膜、前記第1上部電極膜、前記強誘電体層、前記第2下部電極膜、及び前記第1下部電極膜をエッチングして下部電極、強誘電体層パターン、及び上部電極を形成して強誘電体キャパシタを形成することを特徴とする請求項31記載の強誘電体構造物の製造方法。
  41. 前記第2上部電極膜は、イリジウムターゲット及びルテニウムターゲットからイリジウム及びルテニウムを同時にスパッタリングして形成されることを特徴とする請求項40記載の強誘電体構造物の製造方法。
  42. 前記第2上部電極膜は、イリジウムルテニウム合金ターゲットからイリジウムルテニウム合金をスパッタリングして形成されることを特徴とする請求項40記載の強誘電体構造物の製造方法。
  43. 前記第1上部電極膜及び第2上部電極膜を急速熱処理工程で熱処理する段階を更に含むことを特徴とする請求項40記載の強誘電体構造物の製造方法。
  44. 前記第1下部電極膜を形成する前に、半導体基板にコンタクト領域を形成する段階と、前記半導体基板上に少なくとも一つの層間絶縁膜を形成する段階と、及び前記層間絶縁膜を貫通して前記コンタクト領域に接触される少なくとも一つのパッドを形成する段階と、を更に含み、前記第1下部電極膜は、前記第1金属窒化物を用いて前記パッド及び前記絶縁膜上に形成され、
    前記第2上部電極膜を形成した後、前記第2上部電極膜、前記第1上部電極膜、前記強誘電体層、前記第2下部電極膜、及び前記第1下部電極膜をエッチングして下部電極、強誘電体層パターン及び上部電極を形成する段階を更に含むことを特徴とする請求項31記載の強誘電体構造物の製造方法。
  45. 前記第2上部電極膜は、イリジウムターゲット及びルテニウムターゲットからイリジウム及びルテニウムを同時にスパッタリングして形成されるか、イリジウムルテニウム合金ターゲットからイリジウムルテニウム合金をスパッタリングして形成されることを特徴とする請求項44記載の強誘電体構造物の製造方法。
  46. 前記第1上部電極膜及び第2上部電極膜を急速熱処理工程で熱処理する段階を更に含むことを特徴とする請求項44記載の強誘電体構造物の製造方法。
JP2006208855A 2005-08-03 2006-07-31 多層下部電極及び多層上部電極を含む強誘電体構造物及びそれの製造方法 Pending JP2007043166A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050071152A KR100729231B1 (ko) 2005-08-03 2005-08-03 강유전체 구조물, 강유전체 구조물의 형성 방법, 강유전체구조물을 구비하는 반도체 장치 및 그 제조 방법

Publications (1)

Publication Number Publication Date
JP2007043166A true JP2007043166A (ja) 2007-02-15

Family

ID=37800792

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006208855A Pending JP2007043166A (ja) 2005-08-03 2006-07-31 多層下部電極及び多層上部電極を含む強誘電体構造物及びそれの製造方法

Country Status (3)

Country Link
US (1) US20070045689A1 (ja)
JP (1) JP2007043166A (ja)
KR (1) KR100729231B1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012038820A (ja) * 2010-08-04 2012-02-23 Fujitsu Semiconductor Ltd 強誘電体キャパシタの製造方法及び強誘電体キャパシタ
US8193098B2 (en) 2009-02-16 2012-06-05 Elpida Memory, Inc. Method for manufacturing semiconductor device
US8708463B2 (en) 2009-11-10 2014-04-29 Seiko Epson Corporation Piezoelectric device, piezoelectric actuator, liquid ejecting head, and liquid ejecting apparatus
JP2015535146A (ja) * 2012-11-20 2015-12-07 マイクロン テクノロジー, インク. トランジスタ、メモリセルおよび半導体構造
JP6062552B2 (ja) * 2014-03-17 2017-01-18 株式会社東芝 不揮発性記憶装置
US10096619B2 (en) 2014-03-17 2018-10-09 Toshiba Memory Corporation Semiconductor device, manufacturing method for semiconductor device, and ferroelectric layer
JP2019057727A (ja) * 2014-05-20 2019-04-11 マイクロン テクノロジー,インク. 有極性、カイラル、非中心対称性強誘電体材料、その材料を含むメモリセルおよび関連するデバイスと方法
JP2019071419A (ja) * 2015-09-01 2019-05-09 マイクロン テクノロジー,インク. 強誘電体メモリセルを動作させる方法および関連する強誘電体メモリセル

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7973304B2 (en) * 2007-02-06 2011-07-05 International Rectifier Corporation III-nitride semiconductor device
US20080204970A1 (en) * 2007-02-28 2008-08-28 Uchicago Argonne, Llc Transparent oxide capacitor structures
JP5304792B2 (ja) * 2008-08-29 2013-10-02 新日鐵住金株式会社 SiC単結晶膜の製造方法および装置
WO2011043794A2 (en) * 2009-09-29 2011-04-14 Yale University Ferroelectric devices including a layer having two or more stable configurations
CN104115270B (zh) * 2011-12-14 2017-12-08 英特尔公司 具有包含多个金属氧化物层的绝缘体堆叠体的金属‑绝缘体‑金属(mim)电容器
US20140001598A1 (en) * 2011-12-21 2014-01-02 Nick Lindert Atomic layer deposition (ald) of taalc for capacitor integration
JP6071825B2 (ja) * 2013-09-20 2017-02-01 株式会社東芝 不揮発性記憶装置
KR102135920B1 (ko) * 2013-12-27 2020-07-20 엘지디스플레이 주식회사 유기 발광 표시 장치 및 이의 제조 방법
JP6366824B2 (ja) * 2014-09-09 2018-08-01 サビック グローバル テクノロジーズ ベスローテン フェンノートシャップ 二段階温度プロセスを使用した薄膜強誘電体デバイスの製造方法
DE102017200678B4 (de) 2016-01-19 2019-06-27 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Herstellung einer Mikroelektronikschaltung sowie entsprechende Mikroelektronikschaltung
KR20180013091A (ko) * 2016-07-28 2018-02-07 고려대학교 세종산학협력단 하프니아 계열 강유전체를 포함하는 반도체 소자, 및 그 제조 방법
US11158642B2 (en) 2017-02-09 2021-10-26 Texas Instruments Incorporated Capacitor comprising a bismuth metal oxide-based lead titanate thin film
WO2019066962A1 (en) * 2017-09-29 2019-04-04 Intel Corporation MULTILAYER INSULATING STACK FOR FERROELECTRIC TRANSISTOR AND CAPACITOR
KR102411185B1 (ko) * 2017-10-31 2022-06-21 에스케이하이닉스 주식회사 강유전성 메모리 소자 및 이의 제조 방법
JP7066585B2 (ja) * 2018-09-19 2022-05-13 キオクシア株式会社 記憶装置
US10707212B1 (en) * 2018-12-28 2020-07-07 Micron Technology, Inc. Methods of forming an apparatus, and related apparatuses and electronic systems
JP2021150523A (ja) * 2020-03-19 2021-09-27 キオクシア株式会社 半導体記憶装置
US20220102483A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Low leakage thin film capacitors using titanium oxide dielectric with conducting noble metal oxide electrodes
US11916127B2 (en) * 2021-06-16 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer electrode to improve performance of ferroelectric memory device
EP4365901A4 (en) * 2021-08-27 2024-08-28 Huawei Tech Co Ltd FERROELECTRIC MEMORY AND METHOD FOR FORMING SAME, AND ELECTRONIC DEVICE
CN117241589A (zh) * 2022-06-02 2023-12-15 华为技术有限公司 铁电存储器及其制备方法、电子设备

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5191510A (en) * 1992-04-29 1993-03-02 Ramtron International Corporation Use of palladium as an adhesion layer and as an electrode in ferroelectric memory devices
US5566045A (en) * 1994-08-01 1996-10-15 Texas Instruments, Inc. High-dielectric-constant material electrodes comprising thin platinum layers
JPH11195768A (ja) * 1997-10-22 1999-07-21 Fujitsu Ltd ペロブスカイト型酸化物膜を含む電子装置とその製造方法及び強誘電体キャパシタ
JP3249496B2 (ja) * 1998-11-10 2002-01-21 株式会社東芝 半導体装置及び半導体装置の製造方法
JP2000349245A (ja) * 1999-06-02 2000-12-15 Sony Corp 誘電体キャパシタおよびメモリならびにそれらの製造方法
JP4011334B2 (ja) * 2001-12-04 2007-11-21 富士通株式会社 強誘電体キャパシタの製造方法およびターゲット

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193098B2 (en) 2009-02-16 2012-06-05 Elpida Memory, Inc. Method for manufacturing semiconductor device
US8708463B2 (en) 2009-11-10 2014-04-29 Seiko Epson Corporation Piezoelectric device, piezoelectric actuator, liquid ejecting head, and liquid ejecting apparatus
US8906704B2 (en) 2010-08-04 2014-12-09 Fujitsu Semiconductor Limited Method of manufacturing a ferroelectric capacitor and a ferroelectric capacitor
JP2012038820A (ja) * 2010-08-04 2012-02-23 Fujitsu Semiconductor Ltd 強誘電体キャパシタの製造方法及び強誘電体キャパシタ
US9882016B2 (en) 2012-11-20 2018-01-30 Micron Technology, Inc. Transistors, memory cells and semiconductor constructions
JP2015535146A (ja) * 2012-11-20 2015-12-07 マイクロン テクノロジー, インク. トランジスタ、メモリセルおよび半導体構造
US11594611B2 (en) 2012-11-20 2023-02-28 Micron Technology, Inc. Transistors, memory cells and semiconductor constructions
US10943986B2 (en) 2012-11-20 2021-03-09 Micron Technology, Inc. Transistors, memory cells and semiconductor constructions comprising ferroelectric gate dielectric
JPWO2015141625A1 (ja) * 2014-03-17 2017-04-06 株式会社東芝 不揮発性記憶装置
US10096619B2 (en) 2014-03-17 2018-10-09 Toshiba Memory Corporation Semiconductor device, manufacturing method for semiconductor device, and ferroelectric layer
US9779797B2 (en) 2014-03-17 2017-10-03 Toshiba Memory Corporation Non-volatile memory device
JP6062552B2 (ja) * 2014-03-17 2017-01-18 株式会社東芝 不揮発性記憶装置
JP2019057727A (ja) * 2014-05-20 2019-04-11 マイクロン テクノロジー,インク. 有極性、カイラル、非中心対称性強誘電体材料、その材料を含むメモリセルおよび関連するデバイスと方法
JP2019071419A (ja) * 2015-09-01 2019-05-09 マイクロン テクノロジー,インク. 強誘電体メモリセルを動作させる方法および関連する強誘電体メモリセル

Also Published As

Publication number Publication date
KR100729231B1 (ko) 2007-06-15
KR20070016472A (ko) 2007-02-08
US20070045689A1 (en) 2007-03-01

Similar Documents

Publication Publication Date Title
KR100729231B1 (ko) 강유전체 구조물, 강유전체 구조물의 형성 방법, 강유전체구조물을 구비하는 반도체 장치 및 그 제조 방법
US9679904B2 (en) Method of manufacturing semiconductor device
KR100718267B1 (ko) 강유전체 구조물, 이의 제조 방법, 이를 포함하는 반도체장치 및 그 제조 방법
US20130149796A1 (en) Semiconductor device with ferro-electric capacitor
US20060231880A1 (en) Semiconductor device and method of fabricating the same
JP5672832B2 (ja) 半導体装置とその製造方法
JP2006344929A (ja) 強誘電体キャパシタの製造方法及びこれを利用した半導体装置の製造方法
US8614104B2 (en) Method for manufacturing semiconductor device
US8659062B2 (en) Method of manufacturing a ferroelectric capacitor and a ferroelectric capacitor
JP4930371B2 (ja) 半導体装置及びその製造方法
US20150084160A1 (en) Semiconductor device and method of manufacturing the same
US6872618B2 (en) Methods of forming ferroelectric capacitors with metal oxide for inhibiting fatigue
KR20090052455A (ko) 강유전체 캐패시터 및 이의 제조 방법
JP4220459B2 (ja) 半導体装置
JP2011124497A (ja) 半導体装置およびその製造方法
KR100943011B1 (ko) 반도체 장치 및 그 제조 방법
JP2008091693A (ja) 半導体装置および半導体装置の製造方法
KR20090026458A (ko) 강유전체 캐패시터 및 이의 제조 방법
KR20080019980A (ko) 강유전체 커패시터를 포함하는 반도체 장치의 제조 방법
JP2006134961A (ja) 半導体装置