JP2006059348A - 物理的設計システム及び方法 - Google Patents

物理的設計システム及び方法 Download PDF

Info

Publication number
JP2006059348A
JP2006059348A JP2005233945A JP2005233945A JP2006059348A JP 2006059348 A JP2006059348 A JP 2006059348A JP 2005233945 A JP2005233945 A JP 2005233945A JP 2005233945 A JP2005233945 A JP 2005233945A JP 2006059348 A JP2006059348 A JP 2006059348A
Authority
JP
Japan
Prior art keywords
design
glyph
pattern
grid
rules
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005233945A
Other languages
English (en)
Other versions
JP2006059348A5 (ja
JP4608392B2 (ja
Inventor
John M Cohn
ジョン・エム・コーン
James A Culp
ジェームス・エイ・カルプ
Ulrich A Finkler
ウルリケ・エイ・フィンクラー
Fook-Luen Heng
フー・リエン・ヘン
A Rabin Mark
マーク・エイ・ラビン
Jin Fuw Lee
ジン・フー・リー
W Liebmann Laas
ラース・ダブリュ・リーブマン
Gregory A Northrop
グレゴリー・エイ・ノースロップ
Nakgeuon Seong
ナクゲオン・ソン
Rama N Singh
ラマ・エヌ・シン
Leon Stok
レオン・シュトック
Pieter J Woltgens
ピーター・ジェイ・ボルトゲン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2006059348A publication Critical patent/JP2006059348A/ja
Publication of JP2006059348A5 publication Critical patent/JP2006059348A5/ja
Application granted granted Critical
Publication of JP4608392B2 publication Critical patent/JP4608392B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】 より低い製造コスト及びリスクにおいて、設計の製造可能性を向上させる、即ち設計段階において意図された/想定された/モデル化された特性を被加工ウェハがより正確に満たせるような設計を与える設計ツール。
【解決手段】 複雑な集積回路(IC)を設計するための設計システム、IC設計方法、及びそのプログラム製品である。レイアウトユニットは、部分をグリッド及びグリフ形式で表す回路記述を受け取る。確認ユニットは、設計のグリッド及びグリフ部分を確認する。推敲ユニットは確認された設計から目標レイアウトを生成する。データ準備ユニットはマスク作成のために目標レイアウトを準備する。設計効率を向上させるために、パターン・キャッシング・ユニットは、設計の一部を以前にキャッシュされた結果に選択的に置き換える。
【選択図】 図1

Description

本発明は、集積回路(IC)及びチップ設計システムに関し、より具体的にはIC及びICチップを設計するためのコンピュータ支援設計(CAD)システムに関する。
半導体技術及びチップ製造の進歩は、チップ構造サイズの着実な減少をもたらし、オンチップ回路のスイッチング周波数(回路性能)及びトランジスタの数(回路密度)を増大させてきた。典型的な半導体集積回路(IC)チップは、回路層が積層された多層ユニットであり、そこでは層構造が互いに重なり合って個々のデバイスを形成し、またデバイスを互いに接続させる。個々の層は通常、半導体製造に適用される周知のフォトリソグラフィ技術を用いて、リソグラフィ式にパターン形成される。通常、チップ設計者は新規なチップの電子的表現又は論理的表現を作成し、これをチップ/回路レイアウトに変換する。チップ/回路レイアウトはマスク形状に変換され、これがフォトリソグラフィ・マスク上にプリントされる。各々のフォトリソグラフィ・マスクは、半導体ウェハにパターン印刷するために用いて、局所的なウェハ特性又はチップ/回路層の1つを定めることができる。
従来、設計及び製造の両方は、設計されたレイアウト及び製造されたウェハ、並びに設計の幾何学的形態をウェハに転写するために用いられるフォトマスクの幾何学的形態が、互いに非常に似ているという想定に基づいて行われてきた。半導体技術が物理的プロセス及び材料の限界を拡張するのに伴って、この想定はもはや妥当性を失っている。結果として、設計、リソグラフィ・パターン処理、及び製造に向けた設計データフローの操作の上で、創造性、努力、及び費用面での増加が必要となってきている。幾つかの場合においては、製造コスト及びリスクのために、従来のレイアウト方法及び補助的なコンピュータ支援設計ツールは、製造可能な設計、即ちその設計において意図された/想定された/モデル化された特性を正確に満たす被加工ウェハを生産するのに適さないものとなってしまった。
従って、レイアウト生成及びレイアウト・チェックのコスト及びリスクを低減し、且つレイアウトデータ準備の効率性を向上させる設計ツールの必要性が存在する。具体的には、より低い製造コスト及びリスクにおいて、設計の製造可能性を向上させるような、即ち設計段階において意図され/想定され/モデル化された特性を被加工ウェハがより正確に満たせるような設計を与える設計ツールの必要性が存在する。
米国特許第5,519,628号
回路の物理的設計を簡略化することが本発明の1つの目的である。
レイアウト生成及びレイアウト・チェックのコスト及びリスクを低減することが本発明の更に別の目的である。
レイアウトデータ準備の効率性を向上させることが本発明の更に別の目的である。
より低い製造コスト及びリスクにおいて、意図され/想定され/モデル化された設計特性に最終的な被加工ウェハをより正確に一致させ、設計ターゲットを達成することが、本発明の更に別の目的である。
本発明は、複合集積回路(IC)を設計するための設計システム、IC設計方法、及びそのプログラムに関する。レイアウト・ユニットが、部分をグリッド及びグリフ形式で表す回路記述ないし回路データを受け取る。チェック・ユニットが、設計の部分のグリッド及びグリフをチェックする。仕上げ(Elaboration)ユニットが、チェックされた設計からターゲット・レイアウトを生成する。データ準備ユニットが、マスク作成のためにターゲット・レイアウトを準備する。設計格納効率を向上させるために、パターン・キャッシング・ユニットが、設計の一部を以前にキャッシュされた結果に選択的に置き換える。
上記の及び他の目的、態様、及び利点は、添付の図面への参照と共に、以下の好ましい実施形態の詳細な説明からより良好に理解されるであろう。
これより添付の図面を参照すると、より具体的には、図1は、本発明の1つの好ましい実施形態による、集積回路(IC)チップの、プロセスに依存しない物理的設計のフロー100の簡略な例を示す。典型的な従来の回路設計は、主としてプロセスに依存しない、グリッド及びグリフ表現形式として、物理的設計であるレイアウト生成110に供与される。パターン・キャッシング・ユニット120は、物理的設計110からの特定のグリッド及びグリフ表現即ちレイアウト130が、プロセスに依存しない、チップの物理的設計のフロー100全体を横断して、最適な処理及び作業負荷の低減のために、フロー100をモニタし、分析する。好ましいレイアウト130は、ここではグリッド入りグリフ幾何オブジェクト(L3GO)を用いるレイアウトと呼ばれ、L3GOレイアウトと呼ばれる形式になっている。L3GOレイアウト130は、本質的には従来の設計の拡張である。従来の物理的設計レイアウトは、セル、レイヤーなどで構成され、精密な形状及び位置の指定のために通例として最小製造可能構造(例えば1nm)より遥かに小さい、1つ又はそれ以上のデータベース単位(DBU)で表される座標を持った多角形形状のみによって変換され、表現される。しかしながらL3GOレイアウトは、それより遥かに簡略であり、それより遥かに粗いグリッド上に、随意的な従来型の形状を僅かに有するが、主としてL3GO指定のコンポーネント即ちグリッド、グリフ、及び属性を有する。
物理的設計であるレイアウト生成110から完成されたL3GOレイアウト130は、チェック・ユニット140において、L3GO指定規則の違反についてチェックされ、また他の典型的な物理的設計規則の違反についてチェックされる。チェックの後、チェック済のL3GOレイアウトは、仕上げユニット150に渡され、該仕上げユニット150が、グリッド及びグリフ設計を拡大して特定の選択された技術に合わせた従来型レイアウト形状にし、ターゲット・レイアウト160を生成する。ターゲット・レイアウトは、例えば分解能向上技術(RET)及び光学近接効果補正(OPC)のような典型的な集積回路(IC)設計マスク製造用データ準備技術を用いて設計形状を前処理する、データ準備ユニット170のようなデータ準備部に渡される。
ここでも、パターン・キャッシング・ユニット120は、各ユニット又はユニットの組み合わせについて既出のパターンがないかどうかをモニタし、全体の作業負荷を低減するために、可能である時はいつでも、以前に計算されたキャッシュ済みの結果を既出のパターンと置き換える。具体的には、パターン・キャッシング・ユニット120は、設計内のパターンの反復を活用して、特定のL3GOレイアウトが要求する全体の計算量及び個々のユニットの計算量を減らすと共に、出力結果を表すのに必要なデータを減らす。特に大規模な設計においては、L3GO制約は、ネストされた階層的設計構造に内在する反復性に加えて、設計の多くの局所領域が同一となる可能性を高める。物理的設計は、通例、例えばRussell他に付与され、1996年5月21日付で出願された、「System and Method for Formulating Subsets of a hierarchical Circuit」という名称の特許文献1のような数ある中の1つの既存の技術を用いて部分的にフラット化され、いずれかの階層的反復に対処する。フラット化された設計は、サブグリフのセットへと区分される1つ又はそれ以上のレイヤー上に、グリフの集合を多数持つ。グリフは、行われる計算での、相互作用半径(ROI)までの距離におけるグリフ又はグリフの部分の相互作用に基づいて、サブグリフなどに区分することができる。例えばOPCでは通例、ROIは光学的波長の2倍から3倍である。各サブグリフについて、結果として得られるROI近傍のフラット化されたサブグリフ・セットの構成を含むパターンがエンコードされる。以前に処理されたパターンを収めたパターン辞書から各現行サブグリフのパターンを検索することによって、計算を減らすことができる。パターンが見つからなかった場合には、現行サブグリフ及びその周辺パターンの結果が、例えばOPCを用いて計算される。新規パターン結果が、そのパターンをキーとしてパターン辞書に格納され、その結果は全体の出力に加えられる。そうではなくパターンが見つかった場合には、パターン辞書から以前に計算済みの結果が取られ、全体の出力に加えられる。反復頻度の高い反復パターンを、複数のインスタンスを持ったセルとして表すことで、出力データ量を減らすことができる。
図2は、図1のレイアウト作成110からの簡略なL3GOレイアウト180の例を示す。一般に、典型的なL3GOレイアウトは、点グリフ182(ここでは点とも呼ばれる)、棒グリフ184(ここでは棒とも呼ばれる)、及び矩形グリフ186(ここでは矩形とも呼ばれる)という主として3つの単純な幾何学様式の形状要素即ちグリフを含む。グリッドは、全てビルトインの製造用グリッドのサブセットである点188の規則的な矩形の列である。各グリフはグリッドとの関連で指定され、レイヤーに割当てられる。各グリフには、例えばポリシリコンレベルのグリフがタイミング重視のネットにあることを示すといった設計意図を含む任意の付加情報をもつ属性を割当てることもできる。典型的なL3GOレイアウト130においては、グリッド及びグリフの各々は特定のセル及びレイヤーを占める。階層的グリフ複製の(例えばネスティングの)規則は、形状に関する通常の慣例に準じる。
点グリフ182はグリッド点にある無次元即ち0次元の点であり、通例、例えば接点及びバイアなどの垂直方向の相互接続部に用いられる。棒グリフ184は2つのグリッド点の間に引かれた1次元の線分である。通例、棒グリフ184はFETゲート又は相互接続部に用いられる。矩形グリフ186はグリッド点に頂点を持つ2次元の軸線が揃えられた矩形であり、通例、拡散領域に用いられる。各L3GOグリフは、従来型レイアウトにおける多角形形状と同じく、その機能、ウェハ材料などを示す特定の設計レイヤー(例えばPOLY、DIFF)上に常駐する。L3GOレイアウトには、随意的に、より従来型の多角形形状で表される、グリッド及びグリフ制限に従わないコンポーネント(例えばメモリ・ビット・セル及びアナログデバイス)を含めることができる。
L3GOレイアウト例えば180は、レイアウト・チェック140に渡される。レイアウトの幾何学的形態を制限することにより、レイアウトの指定及びチェックは、より従来型の設計手法に比べて著しく簡略化される。単純なパターン・マッチング、即ち許容される構成及び許容されない構成から成るパターン・ライブラリに局所グリフ構成をマッチングさせることを用いて、L3GOレイアウトをチェックすることができる。L3GOパターンとは、形状要素機能(グリフのタイプ、配向、及び大きさ)の連接的及び離接的な組み合わせである。パターン・マッチングは効率的なサブグラフ同形アルゴリズムを使うことができるという利点を持つ。伝統的な設計手法と異なり、設計規則は、多数の形状要素機能及び複合演算子に基づくこともある複雑で恣意的な幾何学計算により定義される必要はない。
仕上げユニット150は、L3GOレイアウトを、より従来型のレイアウト形状に変換する。仕上げユニットは、最も簡略な形では、点グリフ182を、その特定の点グリフ182の設計レイヤーに合わせて決めることができる一定の大きさの正方形に変換し、棒グリフ184を、一定の幅及び棒グリフの始点グリッド及び終点グリッドに関するオーバーハングの矩形に変換し、矩形グリフ186を、頂点グリッド点に関する指定のオーバーハングを持つ矩形に変換する。更に、仕上げユニット150は、指定のコンテキストにおける単一のグリフ又は複数のグリフに関してより複雑な変形を行うことができる。例えば、棒グリフ184の終点オーバーハングの量は、その特定の棒グリフがポリシリコン・ゲートの終端を表すか、接続ワイヤを表すか、及び/又は他のレイヤー上のグリフ(例えば拡散部、バイア)を表すかに関わらず、同一レイヤー上の近隣グリフとの近接性に基づくものとすることができる。更に、グリフから従来型形状への変換は、重複した接点又はバイアの挿入、及び垂直接続部周辺へのランドパッドの追加といった、何らかの歩留まりを上げるための設計行為(DFY)を含むことが可能である。
仕上げユニット150からのターゲット・レイアウト160は、通例、従来のレイアウト設計フローと適合する形状を含むが、これに仕上げユニット150による付加情報が伴う。この付加情報は幾何学的意図を伝え、例えば局所線幅、局所間隔、及び下流プロセスによって許容可能な角部の丸めのような幾何学的パラメータの可変性に対する許容範囲を含む。この幾何学的意図情報は、設計時にグリフの形でより直接的にエンコードされた設計意図を反映する。例えば、ポリシリコン・ゲートを表す棒グリフは、タイミング又はパワーの制約に基づき、許容可能なゲート長(Leff)の可変性を伴って表記されることもあろう。この例においては、仕上げ(Elaboration)は、結果として得られる矩形のターゲット形状を表記する線幅の可変性許容範囲へとこれを変換する。下流のプログラム(例えばOPC)は、幾何学的意図情報を用いて、訂正の生成と表現に用いられる精密度レベルを決定し、マスク作成上の必要条件によって制約を受ける相互排除的な訂正の間のトレードオフを行う。幾つかの既存のOPCプログラムには、間接的であるにせよ、そうした許容範囲情報を表し、利用するための備えがある。
ここでも、仕上げユニット150における仕上げの間にチェック140に対して、又はRET及びOPCと組み合わされた仕上げに対して、又は開始入力がL3GO設計である限りにおいて図1に示した機能のいずれかのシーケンスに対して、パターン・キャッシング・ユニット120を適用することが可能であることに注意されたい。よって、例えばRET及びOPCと組み合わされた仕上げに対して、そして更に後に続く、元のL3GOレイアウト110に電気的媒介変数モデルを与えるためのプロセス・シミュレーション及び抽出に対して、パターン・キャッシング・ユニットを適用することが可能である。
図3は、図1の物理的設計フロー100の例を参照して同一の特性に同一の表記がなされた、本発明の好ましい実施形態によるL3GO設計及び加工データフロー200のより詳細な例を示す。レイアウト作成即ち設計入力及び編集110は、例えばL3GO設計規則202によるガイドを受けて好適な設計ツールを実行するいずれかの従来のワークステーション、コンピュータ端末、パーソナルコンピュータなどのグラフィカル・ユーザ・インターフェース(GUI)を用いる対話型のものとすることができる。設計ツールは、例えばLISPから派生し、Cadence Virtuoso(商標)レイアウトエディタのために書かれたSKILLプログラミング言語で書かれた拡張機能のような、従来の標準的なレイアウトツールのアプリケーション拡張機能を含むことが好ましい。L3GOレイアウト130は、L3GOレイアウト130が生成される際に、L3GO設計規則202に対話形式で照らし合わせてチェックされる140。入力及び編集が完了すると、最終L3GOレイアウト130がチェックされ140、仕上げユニット150に送られる。仕上げ規則204がL3GOレイアウト130に適用されて、ターゲット設計160が生成される。ターゲット設計160は、幾何学的情報、属性、及び/又は特性として、例えばOpenAccessのような業界標準形式で供与されるか、或いはGDSII又はOASISのようなデータ交換形式で供与されることが好ましい。ターゲット設計160は、データ準備170に渡される。データ準備170は、マスク作成データを用いてターゲット設計形状を変換し、適切なマスク形状206にする。マスク形状データ206はまず加工装置207に送られ、フォトマスクに変換されて、その後ウェハをパターン処理するために用いられる。マスク形状データ206は、更にプリント・シミュレーション208に渡されて、その形状がどのようにプリントされることになるかを示すウェハ外形210を生成することが好ましい。ウェハ外形210は、物理的モデル抽出212に渡される。L3GOレイアウトは、ターゲット設計160からモデル抽出212に至るまで、同じ形式で維持されることが好ましい。モデル抽出212はウェハ外形210から、設計の回路モデル214を生成する。いずれの設計についても、回路モデル214は、その設計がしかるべくプリントされるように、また設計タイミング216、パワー218などといった設計上の保全性を保証するために、検証を行うことができる。パターン・キャッシング・ユニット120は、特定の設計について、入力110から仕上げユニット150、データ準備170、シミュレーション208、それに続く分析214、216、218、及び最終的にはいずれかのそれに続く分析に至るエンドツーエンドの分析を行うことを可能にする。
主に、L3GO規則202は、L3GO幾何学的形態への設計を制約するグリフ指定の設計規則、グリフを従来型レイアウト形状に関連付ける規則、及び特定の設計に含まれるいずれかの従来型形状をチェックするための規則を含むことが好ましい。よって、L3GO規則とは、相対縁部の配置に関係する複雑な不等式に基づいて制約を指定するのではなく、例えばポリシリコン・ゲートは、少なくとも2つのポリシリコンゲート・グリッド点の間に渡る水平な棒でなければならず、且つ他のポリシリコンゲート・グリフからは少なくとも2グリッド・スペースだけ離間されなければならないといった、個々のグリフ及びグリフの局所構成に対する制約である。L3GO規則202は、従来の設計規則チェッカーによって用いられているようなテキストファイル又は実行セットとすることができる。定義された際には、L3GO規則202はチェック・ユニット140によって内部形式に変換することができる。グリフを、従来型レイアウト形状及び、従来型形状の従来型設計規則に関連付ける規則は、例えばMentor Graphics Calibre(商標)などの従来型形状チェッカーの規則表現を用いて実装することができる。
仕上げ規則204は、L3GO設計から従来型の形状ベースのターゲット設計160への変換を定義する。例えば、ポリシリコンゲート・グリフを単一方向に拡張して、グリフ長さを長さとし、重要なポリシリコンゲート・レベル線幅を幅とする矩形に変えるなどの単純な規則が単一のグリフに適用される。特定のコンテキストに従い、より複雑な規則をグリフ又はグリフの部分に適用することができる。例えば、2グリッド・スペース内に第1の金属レベル・グリフの交差がない場合には、第1の金属レベル線端を延長することができる。別の例においては、第1の金属レベル・グリフが2グリッド・スペース内で並行していない場合には、ドッグボーン型アンカーを追加することができる。更に、グリフ、グリフの部分、幾何学的関係、及び論理結合子のパターンについて、より複雑な規則を指定することができる。例えば、ポリシリコンゲート・グリフと金属レベル・グリフが接点グリフで出会うということが起こった場合のために、ポリシリコン・ゲート形状を第1の金属レベル線に沿って1グリッド分延長し、新たなポリシリコン・ゲート形状端に重複接点形状を追加するといった仕上げ規則を含めることができる。
L3GO指定の設計コンポーネントは、L3GO特性及び値を既存のデータモデルへと拡張するか、又は新規なタイプの設計オブジェクトを与えるという2つの手法のうち1つを用いて、エンコードされることが好ましい。よって、第1の好ましい手法により、Open Access(OA)データモデル(特性及び値)の拡張可能メカニズムを用いて、OAの永続的メモリ内記憶域に新規なオブジェクトタイプを追加することができる。これらのオブジェクトタイプは、C++クラス又はSKILL(LISP)データと共にラップされ、操作される。第2の好ましい手法によれば、新しいタイプの設計オブジェクトは、特別な解釈を伴った従来型設計オブジェクトとして表現することができる。例えば、特定のセルにおける特定のレイヤーのグリッドは、そのセルのレイヤー上の直角三角形形状によって表すことができる。直角三角形の底辺はXピッチであり、高さはYピッチであり、直角部がグリッドの原点である。点グリフは、例えば1データベース単位の最小半径を持つ円で表すことができる。棒グリフは、例えば両端に2データベース単位の幅を持った線などの最小幅をもつパス又は線オブジェクトで表すことができる。矩形グリフは、グリッド点又は座標上に頂点を持つ従来型の矩形として直接表すことができる。具体的な手法は、L3GO設計入力及び編集110に用いられる具体的な設計ツールの能力に従って、選択される。
図4は設計入力及び編集110、及び具体的にはグリフの追加のフロー図の例を示す。編集110は通例、例えば適切に装備されたワークステーション、コンピュータ端末、又はPCにおいて、対話式に行われる。設計編集は、セルの選択1102で開始し、それが表示され1104、次いでセルの中のレイヤーを選択する1106。ステップ1108において、まだ定められていない場合には、選択されたレイヤーのグリッドが定められる。ステップ1110において、新規に定められた又は以前に定められているグリッドが表示される。グリフ・タイプが選択され、ステップ1112で付加される。点グリフが選択された場合には、ステップ1114pにおいてグリッド点が選択される。同様にして、棒タイプが選択された場合には、ステップ1114sにおいて2つの点が選択されて棒グリフが定められ、及び/又は矩形グリフが選択された場合には、1114rにおいて、相対する頂点を示す2つの点が選択される。次に、ステップ1116p(又は1116s又は1116r)において、設計に点(棒又は矩形)グリフが付加され、ステップ1118においては、セル/レイヤーがL3GO規則204に照らしてチェックされる。付加されたグリフが規則チェック1118に適合しなかった場合には、除去される。次いで、設計者は、グリフ・タイプの選択1112に戻り、別の点グリフ1114p、棒グリフ1114s、又は矩形グリフ1114rを選択することが可能となる。そうでなく、1118においてグリフがL3GO規則チェックに適合した場合には、1112において同じレイヤーに更に多くのグリフを追加することができ、又は1106において設計者は編集する別のレイヤーを選択することができ、或いは1104において設計者は異なるセルを選択してもよい。加えて、設計者は、グリフの移動、修正、又は削除を含む他の編集アクション1120を選択することもできる。設計者がステップ110で設計データの入力及び編集を完了すると、L3GO設計はチェック140に送られる。
パターン・キャッシング・ユニット120は、特定の設計を、入力110から仕上げユニット150、データ準備ユニット170、シミュレーション208、後続の分析214、216、218、及び最終的には不良限定及び回路限定の歩留まりプログラムをチェックする後続の分析に至るまで、エンドツーエンドで処理することを可能にする。L3GOチップ・レイアウトは限られたグリッド上の限られたグリフのセットとなることから、完全なエンドツーエンド・チップ処理にかかる追加費用は低減される。従って、L3GOによって生成されたレイアウトは、(通例大量であるにせよ)有限個の局所構成セットに分解することができる。パターン・キャッシング・ユニット120は、L3GO設計から始まるいかなる順序のステップのバックグラウンドででも実行できるような一般的なメカニズムを与えるという利点を持つ。
パターン・キャッシング・ユニット120は、本質的には、パターン・マッチングにおいて既出の局所構成のL3GO変換キャッシュを、キャッシュ済みのパターン、及びその後の同一の局所構成と組み合わせるものである。よって、パターン・キャッシング・ユニット120は、各局所L3GO設計フロー/データフロー(例えば図1の100、図3の200)近傍動作、例えば仕上げユニット150、仕上げユニット150及びデータ準備ユニット170、データ準備ユニット170及びシミュレーション208を伴う仕上げユニット150などについて、特定の構成が以前に出てきたものであるかどうかを判断する。従って、いずれかの設計のL3GOレイアウトは、既出のキャッシュ済みパターンをパターン・キャッシング・ユニットが認識するまで、また認識しない限り、正常に続行する。パターン・キャッシング・ユニット120がキャッシュ済みパターンを認識した場合には、全ての設計フロー100を通過させるのではなく、キャッシュ済みパターンの結果が検索され、フローに組み込まれて、それに対応する近傍がバイパスされる。そうではない場合、パターン・キャッシング・ユニット120は、いずれかの未出のパターンを識別し、キャッシュして、局所パターン別に適切にトリムし、索引付けする。反復頻度及び異なるパターンの長さによっては、随意的に単純な使用回数統計を伴うキャッシュは、比較的小規模のものとすることができる。従って、一般に、パターン・キャッシング・ユニットが取る形式は、L3GOデータフローにおいて用いられる1つ又はそれ以上の特定のツールによって決まる。
図5はパターン・キャッシング・ユニット120のために属性付けされた、局所領域220におけるグリフ・パターンの表現の例を示す。この実施例においては、局所構成及びパターン・マッチングは下にあるL3GOグリッド222に基づく。各グリッド縁部例えば225は、隣接するグリッド点例えば224と226の間にわたって延びる。関係のある縁部には占有属性(例えば1又は0)が付加され、その縁部が例えばポリシリコン・ゲート・レイヤー上のL3GO棒グリフ228の一部によって占有されているかどうかを示す。占有属性が付加されると、関心ある領域(ROI)内の1つの縁部に各ビットが対応する十二ビットのワードを用いてグリッド点226をラベル付けすることが可能である。パターン・キャッシング・ユニット120は、特定の近傍を通る2つの独立したパスにおいて実行することができ、マッチング・パスに置換パスが続く。近傍を通る第1のパスにおいては、設計内の各固有局所構成につき1つのインスタンスが識別される。近傍を通る第2のパスにおいては、第1のパス結果に実際の処理ステップが適用され、例えば仕上げ及びデータ準備がマスクレイアウトを作成するなどのように、出力が生成される。
図6−図7は、本発明の好ましい1つの実施形態として、この例においては単一の変換ユニット(例えば仕上げユニット150)である1つの近隣部に対する2つのパス1200、1250によるパターン・キャッシングの例を示す。図6の第1のパス1200は、ステップ1202において、例えば各入力値をゼロにリセットするなどして、各グリッド点につき、対応する入力に合わせてグリッドマーカー列を初期化することで開始される。次いで、ステップ1204において、例えば図5の226などの第1のグリッド点が列から選択される。ステップ1206において、12個の周辺縁部に占有属性が割当てられ、選択済みグリッド点が、これらの属性を用いて、例えば12ビットパターンワードKとしてラベル付けされる。次にステップ1208において、現行パターンワードがキャッシュ済みパターンと比較され、現行パターンワードが既出であるかどうか、即ち現行パターンを表すワードがキャッシュ済みワードと合致するかどうかが判断される。現行パターンワードが合致した場合には、次いでその合致が、特定の近傍をエンコードする現行ビットパターンの結果となる。よって、ステップ1204に戻り、好ましくはスキャンライン順に次のグリッド点が選択される。ここでも、ステップ1206においていずれかのまだ割当てられていない入力に占有属性が割当てられ、ステップ1208において、パターンワードを求めてキャッシュ済みパターンがチェックされる。パターン・キャッシュ内にパターンワードが見つからない場合には、ステップ1210においてそのパターンが新規のものとしてマークされ、ステップ1212でキャッシュされる。次いで、ステップ1204に戻り、次の点が選択される。これはステップ1204において全てのグリッド点の検討が済むまで続く。
全てのグリッド点の検討が済むと、設計データを減らして、現行の近傍のマーク済みパターンのみ、即ちそれまでにキャッシュ内のいずれのパターンにも合致しなかったパターンのみにすることができる。従って、ステップ1214において開始し、グリッド縁部が選択され、ステップ1216において近くのマーカーがないかチェックされる。1つも見つからない場合には、次いでステップ1218において選択済み縁部が設計から消去され、ステップ1214に戻り、ここでも好ましくはスキャンライン順に次の縁部が選択される。ステップ1216においてマーカーが見つかった場合には、その縁部はそのままにしてステップ1214に戻り、次の縁部が選択される。ステップ1214において全ての縁部が検討された後、ステップ1220において編集済み設計が出力され、ステップ1222においてパターン・キャッシュが出力されて、近傍における通常の処理に充てられる。ステップ1220において編集済み設計を、ステップ1222においてキャッシュを出力した後で、マーク済みパターン部分は、それが近傍を横断して現れる際に、例えば仕上げユニット150の通常のグリフとして、又は208からの通常のウェハ外形として、通常通り処理される。マーカー配列に用いられた記憶域の量を低減するか又は最小化するために、ステップ1202−ステップ1212のグリッド・マーキングを設計編集ステップ1214−1222とパイプラインすることができることに注意されたい。
第1のパスに続き、ステップ220の結果である編集済み設計が、近傍への入力、即ち所望の1つ又はそれ以上の動作を伴う1つ又はそれ以上の指定のプログラムである。
図7の第2のパス1250は、ステップ1252において、元のL3GO設計を入力することで開始される。ステップ1254においては、指定の1つ又はそれ以上のプログラムにより処理された編集済み設計の結果が取得され、ステップ1256においてパターン・キャッシュが取得される。次いで、ステップ1258において、配列からグリッド点が選択される。ステップ1260において、選択済みグリッド点の12ビットワードパターンが、グリッドマーカー配列から取得される。ステップ1262において、縁部(I,J)の近傍をエンコードするパターンKについてパターン・キャッシュがチェックされる。結果入力から縁部(I,J)でKが最初に発生したことが示された場合には、ステップ1264において、この結果は、縁部(I,J)の近傍が実際に処理された編集済み設計の一部であったことを示し、従って出力に変化はない。しかしながら、Kのキャッシュ参照が、Kが(I,J)以外の何らかの位置(P,Q)で最初に発生したことを示した場合には、ステップ1266においてその(P,Q)周辺の出力が(I,J)周辺の出力にコピーされる。そうではなく、選択済みワードパターンがキャッシュ済みパターンと合致した場合には、キャッシュ済みパターン結果が結果に挿入される。適切なパターン結果が、必要である場合にステップ1268の出力に挿入された際には、ステップ1258において、好ましくはスキャンライン順に次のグリッド点が選択される。ステップ1258において全てのグリッド点が選択された後で、設計はステップ1270において第2のパスを終了する。この実施例においては単一ユニットの近傍について図示され説明されたが、2つのパターン・キャッシング・ステップ1200、1250は、例えばNiagaraのような数ある階層的形状処理メカニズムの中のいずれかと更に組み合わせることが可能であることに注意されたい。例えば上記Russell他を参照のこと。よって、そうした階層的設計におけるこうした反復パターンの存在はエンコードを最小にし、そのことにより、全ての固有の反復パターンの組み合わせに関するフラットな設計の最小セットとして、即ち本質的にはより高位の構造レベルのパターン・キャッシングとして、フラットな設計をエンコードすることが可能である。
図8は、本発明の好ましい実施形態により、L3GO設計のL3GO指定部分(即ちグリフ)をL3GO規則(例えば図3の202)に沿ってチェックすること140のフロー図の例を示す。チェック140は、設計グリフ・パターンと、随意的に近辺のコンテキスト・グリフとを、各規則のパターン部分に照らしてマッチングする。従って、算術上の頑強性に伴う問題が回避され、種々のアルゴリズム的検索構造及びハッシュ法を用いることでチェック効率を向上させることができる。よって、ステップ1402において開始し、選択された技術について、例えば記憶域からL3GO規則202が取得される。ステップ1404において、L3GO規則202はグリフ・レイヤーごとにソートされる。ステップ1406において、違反規則と任意規則とを区別するために、規則は更にソートされる。任意規則は、他の規則に関係なしに許可される局所パターンを指定する。ステップ1408において、各規則に関するコンテキスト・サイズ、即ち他のグリフへの違反又は支持を検出するためにレイアウトが検査されるべき所与のグリフを超える距離が判断される。まず、ステップ1410において、チェックのために設計のレイヤーが選択される。次にステップ1412において、そのレイヤー上の個々のグリフが選択される。次いで、ステップ1414において、規則のうちの最初のものが選択され、ステップ1416において、選択済みグリフについて、周辺グリフ及び選択済みグリフの直近にあるいずれかの他の伝統的な形状に対するコンテキストを収集することができる。ステップ1418において、選択済みグリフが選択済み規則に照らしてチェックされ、その規則が選択済みグリフ及びそのコンテキストに適用されるかどうかが判断される。適用されない場合、ステップ1414に戻り、次の規則が選択される。ステップ1418において特定の規則が選択済みグリフ及びそのコンテキストに合致することがわかった場合はいつでも、ステップ1420において、その規則がチェックされ、違反規則であるか任意規則であるかどうかが判断される。違反規則であった場合には、ステップ1422においてエラーが報告され、ステップ1412に戻り、別のグリフが選択される。任意規則であった場合には、ステップ1412において、そのコンテキストにおける選択済みグリフが有効と見なされ、チェックはステップ1414における次のグリフに進むことができる。
グリフのチェックが済むと、従来型の設計形状及びL3GO設計グリフにおけるそれらの対話は、いずれかの適切な従来型の設計規則チェック(DRC)ツールを用いて行うことができる。従来型形状は、L3GO形状を棒状又は点状の従来型形状として処理することにより、従来型DRCツールにおいてL3GO設計グリフに照らしてチェックすることができる。或いは又、従来型形状に対してL3GO準拠表現を与えることもでき(例えば最も小さく閉じている矩形を外方にスナップして設計レベルに適したグリッドにする)、次いでL3GO指定チェックメカニズムを用いて、従来型形状表現がL3GO形状に照らしてチェックされる。例えば設計者が非任意即ち違反規則に抵触するグリフを入力することができないというような、構造レイアウト生成によるシームレスな訂正のために、チェック・ユニット140は、L3GO入力/編集ユニット120のサブユニットであることが好ましい。しかしながら、随意的に、チェック・ユニット140は独立したユニットとして動作することもできる。L3GOレイアウトのチェックが済むと、それは仕上げユニット150での仕上げに回される。
図9は、本発明の好ましい実施形態による、仕上げユニット150におけるL3GO設計の仕上げ、即ちグリフ・ベースの幾何学的形態を従来型の幾何学的形態に変換するフロー図の例を示す。このことは、棒グリフに肉付けして矩形にし、点グリフを正方形にすることと同様に単純にするか、又は、例えばポリシリコン境界を接点グリフの周りに拡張することのように、より複雑なコンテキスト依存の幾何学的処理を含むことができる。まずステップ1502において、選択された技術について仕上げ規則204などの仕上げ規則(例えば図3の204)が取得され、例えば仕上げ規則204はリモートの記憶域にあるテキストファイルとすることができる。一般に、仕上げ規則202の各々はパターン及び関連アクションを含む。典型的なパターンは、ベース即ちグリフ又はグリフの一部(例えば端点の一方を含む棒グリフの一部)、及びコンテキスト即ちパターンが合致するためには存在していなければならないグリフ又はグリフの部分のセットを含むことができる。次に、ステップ1504において、仕上げ規則は、最も詳細なものから最も詳細でないものまで、パターンの詳細度ごとにソートされる。詳細な規則は、例えば、ポリシリコンゲート・棒グリフの端部が、少なくとも3グリッド・スペース長さに延長され、一致接点部レベル・グリフをもつ少なくとも4グリッド・スペース長さの垂直な第1金属レベル棒グリフと一致すること、などを必要とする場合がある。これと対照的に、最も詳細でない規則は、例えば拡散レイヤー・方形グリフの処理を指定する。ステップ1506において、最も詳細な規則が選択される。ステップ1508において、設計は、例えばパターン・マッチング・アルゴリズムを用いて、最も詳細なものから最も詳細でないものまでスキャンされ、ステップ1510において1つのパターンの1つのインスタンスが見つかるまで、パターンのインスタンスが識別される。ステップ1512において、各マッチングについて、対応するアクションが起動され、パターン(恐らくは自由変項)に合致する構造が仕上げられる。仕上げ済み形状が、ターゲット設計160の一部として出力され、ステップ1514において、パターンのベース部分に対応する、合致するグリフ又はサブグリフが処理済みとしてマークされる。
主として、ターゲット設計160は従来型設計と適合し、周知の従来の下流分析及びデータ準備へとシームレスに流れる。仕上げユニット150は、幾何学的意図情報を、従来型設計情報への拡張として付加することが好ましい。幾何学的意図情報は、ゲートレベル形状近辺の拡散レベル形状の内角の最大角部丸め半径、又は指定のゲートレベル幅の変動の許容範囲のような、個々の形状又は形状の部分に対する許容範囲及び/又は一般制約を指定する。例えば仕上げユニット150は、より高いレベルの設計者意図情報から翻訳された幾何学的意図情報を付加することが可能であり、例えば、ゲート形状を形成するポリシリコン・ゲート・レベル形状を重要でないとしてマークすることによって、対応する従来型のターゲット設計形状の許容範囲をより緩やかにし、公称線幅の増大をもたらすことが可能である。また、幾何学的意図情報は、業界標準属性及び/又は特性として、又はデータ交換形式で、供与されることが好ましい。こうした業界標準属性又はデータ交換形式を用いることにより、形状全体に適用される設計意図を直接指定することができ、例えばポリシリコン・ゲート・レベル形状のどの部分がゲートを形成し、どの部分がポリ相互接続部であるかを判断することがより容易となり得る。加えて、形状の部分についての設計意図をエンコードすることが可能であり、例えば或る形状の特定の縁部の幾何学的許容範囲は、その縁部に付けられた数的許容範囲として、その形状の縁部の連続順序によるか、又は例えば端点を定めることによって幾何学的に、のいずれかによって表すことができる。
データ準備170は、ターゲット形状及び設計意図情報からマスク形状を派生させる。パターン・キャッシング・ユニット120によって処理されないいずれかのパターンについて、形状変形アプリケーションの集合がターゲット形状に適用され、ターゲット技術プロセス及び材料の種々の側面を補正する。1つの好ましい実施形態においては、Mentor Calibreのような業界標準ソフトウェアツールが、例えば交互位相シフトマスク生成及び光学近接効果補正のような好適な周知のデータ準備技術を実装する。幾何学的情報は、マスク形状206を作るのに十分なだけ準備されたターゲット形状に、ここでも業界標準属性及び/又は特性として、或いは標準的データ交換形式で、付加される。マスク形状206の幾何学的情報は、ターゲット形状の幾何学的意図情報と同様のマスク意図情報を含むことが好ましい。マスク書き込み及び検査に要求される正確さがより低くなることから、マスク意図情報はマスク作成の時間とコストを低減する。
シミュレーション208は、物理的構造が最終的にどのように、指定のプロセスにより製造されるウェハ上に(ウェハ外形210として)プリントされるかを予測する。シミュレーション208においては通例、以前にシミュレートされたキャッシュ済みパターンが取得されるか、又は好ましくは同じ業界標準ソフトウェアツールを用いて新規のシミュレーションが作成される。シミュレーション208は、公称ウェハ形状を正確に予測し、またスルードーズ、スルーフォーカスの変化などのような、下にあるシステム効果変数への相関を含む、そうした形状の変化を予測する。ウェハ外形210は、例えば個々の形状に又は全レイヤーに結合させることができる特性を増補された標準的設計表現又はデータ交換形式を用いて、予期された最終被加工材料形状を表す幾何学的形状である。典型的なそうした増補特性は、各ウェハ外形形状のプロセス変動条件への対応を示す。この変化情報は、例えば公称外形及び許容範囲情報に一括されるのではなく明示的に維持されることが好ましい。よって、変化の間の詳細な相関は、下流の分析プログラムに利用可能である。
抽出212及び回路モデル214は、例えばスイッチング時間、出力損失218、不良及び雑音感度などの意味をなす電子的パラメータへとウェハ外形210(及びその変形)を変換して、レイアウト設計者に又はレイアウト作成プログラムの中で検討させる、多数の周知の分析プロセスの適用を含む。具体的には、抽出は、例えばデバイス・スイッチング時間及び相互接続伝搬時間216のような、派生された特定の1つ又はそれ以上のパラメータに従う回路モデル表現を与える。例えば、デバイス・スイッチング時間及び相互接続伝搬時間216は、AssuraRCX(商標)のような業界標準抽出ソフトウェアツールを用いて計算することができる。また、垂直相互接続不良感度などの他の特性については、業界標準の形状処理ソフトウェアツールに基づく、その目的のためのアプリケーションを用いてもよい。
L3GO設計規則は、グリッド及びグリフの許可されたパターン及び非許可のパターンの非常に単純なセットとして表現でき、規則をチェックするために用いられる機能に関するいかなる詳細も省略されるという利点を持つ。L3GOレイアウトは、例えば細い経路(例えば最小値以下の線)で棒を、小型(例えば最小値以下)の正方形で点をというように従来型形状を用いてグリフを適切に表現することによって、従来型の設計ツールにより作成することができる。更に、殆どのレイアウトエディタはレイアウト編集環境のカスタマイズを供与しており、L3GOレイアウトの入力を簡略にする。例えば、編集をカスタマイズして、従来通りの形状近似を使わずに、棒及び点の直接入力を可能にすることができる。また、レイアウト編集環境を選択して、強制的にグリフをレイアウトグリッドと適切に整合させることができる。随意的に、構築レイアウトによる訂正のために、レイアウト編集環境にパターンベースの設計規則チェックを組み込み、そのことにより独立したチェックステップを除去することができる。加えて、L3GOレイアウトはグリフ及び粗いグリッドによって高度に制限されていることから、グリッド・ルーティングが相互接続部レイアウトを図式的ネットリストから自動生成するのとほぼ同じやり方で、図式的表現から直接L3GOレイアウトを生成することができる。グリフに付与された特性はデバイス及び接続部に関する意図を伝えることから、結果として、他のやり方の場合には設計意図を曖昧にしかねない小型の幾何学的詳細を表現する必要はなくなる。
加えて、典型的な従来の技術に基づく設計システムとは異なり、L3GO設計は1つの技術から次の技術に移行するコストを減ずる。L3GO規則は小規模なプロセス変更の影響を受けず、多くの設計に関してグリッド及び棒レベルの表現もまたそうである。殆どの規模の小さなプロセス変更はいかなる対応するL3GO規則の変更も必要とせず、仕上げ及びその後の自動処理ステップに任せることが可能である。事実、L3GOグリッド及び棒表現は1つの技術から次の技術へといかなる設計変更もなしにシームレスに移行することから、幾つかのL3GOレイアウトは、完全に技術非依存とすることができる。技術間の移行による、設計に対するいずれかの物理的変更は、仕上げプロセス及びデータ準備に影響することがあり得る。シミュレーションは、単純に新規のプロセスモデルを使うことにより、アップデートが可能である。
また、パターン・キャッシング・ユニットは、非常に詳細なL3GOレイアウトを、プロセス・シミュレーションとその結果得られるモデルの精密度に寄与する設計詳細レベルの分析とを通じて、効果的に処理する。いずれの反復パターンに関する計算結果も反復的に使用される一方、いずれのパターンの計算も一度しか行わなくてよいことから、パターン・キャッシング・ユニットはL3GOレイアウト及び他の計算集約的ステップのデータ準備実行時間を劇的に減らす。このことはまた、出力データ量を減らし、同時にその後の計算(例えばマスク分割)をより効率的にする。別個のレイアウト(即ち設計空間)の数が非常に減らされることから、L3GO設計は大規模な設計に通常内在する不快な驚きを顕著に減らす。十分に設計空間を減らすことで、少なくとも特定の設計のL3GO部分に関しては、全ての局所レイアウト構成を検査して驚きを発見し、除去することができる。
本発明を好ましい実施形態との関係で説明してきたが、本発明は添付の特許請求の範囲の精神及び範囲内での修正を伴って実施できることを当業者は認識するであろう。
本発明の1つの好ましい実施形態による、集積回路(IC)チップの、プロセスに依存しない物理的設計のフローの簡略な例である。 例えばレイアウト作成からの簡略なL3GOレイアウトである。 L3GO設計及び加工データフローのより詳細な例である。 設計入力及び編集、具体的にはグリフの付加のフロー図の例である。 パターン・キャッシング・ユニット用に属性付けされた、局所領域におけるグリフ・パターンの表現の例である。 仕上げユニットなどの単一の変換ユニットである1つの近傍に対する、2つのパスによるパターン・キャッシングの例である。 仕上げユニットなどの単一の変換ユニットである1つの近傍に対する、2つのパスによるパターン・キャッシングの例である。 L3GOレイアウトのL3GO指定部分(即ちグリフ)を、L3GO規則に沿ってチェックするフロー図の例である。 仕上げユニットにおけるL3GOレイアウトの仕上げ、即ちグリフ・ベースの幾何学的形態を従来型の幾何学的形態に変換するフロー図の例である。
符号の説明
110:レイアウト作成
120:パターン・キャッシング・ユニット
130:L3GOレイアウト
140:チェック
150:仕上げユニット
160:ターゲット・レイアウト
170:データ準備

Claims (86)

  1. 設計システムであって、
    集積回路(IC)設計の回路データを受け取り、かつ前記IC設計の部分をグリッド及びグリフ形式で表すレイアウト・ユニットと、
    前記部分のグリッド及びグリフをチェックするチェック・ユニットと、
    前記グリッド及びグリフのチェック済み部分の各々からターゲット・レイアウトを生成する仕上げユニットと、
    前記ターゲット・レイアウトをマスク作成用レイアウトとして準備するデータ準備ユニットと、
    以前に処理されてキャッシュ済みのパターンの結果を、前記グリッド及びグリフの少なくとも一部分で、選択的に置換するパターン・キャッシング・ユニットと
    を含む、設計システム。
  2. 前記グリッド及びグリフ形式のグリフが、点グリフ、棒グリフ、及び方形グリフを含む、請求項1に記載の設計システム。
  3. 前記チェック・ユニットが、
    グリッド及びグリフ設計規則を格納する規則記憶域と、
    前記グリッド及びグリフ設計規則をソートするソータと、
    チェックのためにグリフを選択するグリフ選択手段と、
    選択された前記グリフが選択された前記グリッド及びグリフ設計規則の1つと適合するか比較する規則チェッカーと、
    前記比較の結果を示すための手段と、
    を含む、請求項2に記載の設計システム。
  4. 前記チェック・ユニットが、
    設計レイヤーを選択する手段と、
    前記選択された設計レイヤー上のグリフを選択する手段と、
    チェックのために前記グリッド及びグリフ設計規則の1つを選択する手段と、
    を含む、請求項3に記載の設計システム。
  5. 前記比較の結果を示すための手段が、前記グリッド及びグリフ設計規則の選択された1つへの違反に応答するエラー表示を与える、請求項3に記載の設計システム。
  6. 前記選択された1つが違反規則であり、前記エラー表示は、任意規則の違反については与えられない、請求項5に記載の設計システム。
  7. 前記格納されたグリッド及びグリフ設計規則が、設計形状とのグリフ対話を支配する規則を含み、前記チェック・ユニットがさらに、
    前記グリッド及びグリフ設計規則の各々のコンテキスト・サイズを判断し、且つ周辺の設計形状を識別するためのコンテキスト判断手段と、
    前記選択されたグリフの前記コンテキスト・サイズを収集するコンテキスト収集手段と、
    をさらに含む、請求項3に記載の設計システム。
  8. 前記チェック・ユニットが、設計形状とのグリフ対話を支配する前記規則に照らして、収集された前記コンテキストをチェックする、請求項7に記載の設計システム。
  9. 前記仕上げユニットが、
    仕上げ規則を格納する規則記憶域と、
    前記仕上げ規則をソートする規則ソータと、
    グリフが前記仕上げ規則のいずれかと合致するかをチェックする規則チェッカーと、
    前記仕上げ規則の中の合致した1つに応答してグリフを拡張する手段と、
    を含む、請求項2に記載の設計システム。
  10. 前記ソータが、前記仕上げ規則を最も詳細なものから最も詳細でないものまでソートする、請求項9に記載の設計システム。
  11. 前記仕上げユニットがさらに、前記拡張されたグリフの各々及び拡張部分グリフを処理済みとしてマークするためのグリフ・マーキング手段を含む、請求項9に記載の設計システム。
  12. 前記パターン・キャッシング・ユニットが、
    前記以前に処理済みのパターンの結果を格納するパターン記憶域と、
    前記IC設計の中の設計パターンを、前記以前に処理済みのパターンの1つに対応するものとして識別するキャッシュ済みパターン認識ユニットと、
    前記識別された設計パターンを前記格納された結果に置換する手段と、
    を含む、請求項2に記載の設計システム。
  13. 前記キャッシュ済みパターン認識ユニットが、
    前記IC設計の各グリフの周辺の領域をスキャンして、前記以前に処理済みのパターンの1つと合致するパターンを探すためのパターン・スキャン手段と、
    合致しないパターンの各々のグリッド位置をマークするためのグリッド・マーキング手段と、
    前記IC設計を合致しないパターンに低減するための設計データ低減手段と、
    を含む、請求項12に記載の設計システム。
  14. 前記パターン・スキャン手段が、
    各グリッド点をスキャンし、前記各グリッド点におけるパターンをチェックするためのパターン突き止め手段と、
    前記突き止められたパターンの各々をエンコードするためのエンコード手段と、
    前記エンコードされたパターンの各々と前記以前に処理済みのパターンとの合致を比較するためのパターン・マッチング手段と、
    を含む、請求項13に記載の設計システム。
  15. 前記パターン・キャッシング・ユニットが、
    各グリッド縁部が合致しないパターンの直近にあるかどうかを判断するための縁部選択手段と、
    前記縁部選択手段に応答してグリッド縁部を選択的に除去する手段と、
    をさらに含む、請求項14に記載の設計システム。
  16. 回路設計を対話形式で受け取り、該回路設計を前記レイアウト・ユニットに与える対話型設計ユニットをさらに備え、前記対話型設計ユニットが、
    編集のためにセル・レイアウトを選択する手段と、
    選択された前記セル・レイアウトをグリッド環境の中に位置決めするための手段と、
    前記選択されたセル・レイアウトにグリフを付加する手段と、
    を含む、請求項2に記載の設計システム。
  17. 前記編集のためにセル・レイアウトを選択する手段が、
    前記セル・レイアウトを選択する手段と、
    前記選択されたセル・レイアウトを表示する手段と、
    前記選択されたセル・レイアウトのレイヤーを選択する手段と、
    を含む、請求項16に記載の設計システム。
  18. 前記グリフを付加する手段が、
    グリフ・タイプを選択する手段と、
    グリッド点を選択し、該選択されたグリッド点に前記選択されたタイプのグリフを付加する手段と、
    を含む、請求項16に記載の設計システム。
  19. 前記対話型設計ユニットが、
    グリフ挙動規則を格納する規則記憶域と、
    前記付加されたグリフの各々が前記グリフ挙動規則と適合するかチェックするための規則チェッカーと、
    をさらに含む、請求項16に記載の設計システム。
  20. 準備された前記ターゲットレイアウトからマスク形状を生成するマスク形状生成ユニットと、
    生成された前記マスク形状からウェハ外形を生成するシミュレーション・ユニットと、
    生成された前記ウェハ外形から回路モデルを抽出する抽出ユニットと、
    前記回路モデルから、期待されるIC電子的パラメータを生成するモデリング・ユニットと、
    をさらに含む、請求項16に記載の設計システム。
  21. 前記パターン・キャッシング・ユニットが、前記マスク形状生成ユニット、前記シミュレーション・ユニット、前記抽出ユニット、及び前記モデリング・ユニットを横断する前記ICをさらにモニタする、請求項20に記載の設計システム。
  22. レイアウト設計から回路物理的分析まで設計フローについて集積回路(IC)設計をモニタし、前記IC設計の一部を、以前に処理されてキャッシュ済みのパターンの結果と選択的に置き換えるパターン・キャッシング・ユニットであって、
    以前に処理済みのパターンの結果を格納するパターン記憶域と、
    前記IC設計の中の設計パターンを、前記以前に処理済みのパターンのうちの少なくとも1つに対応するものとして識別するキャッシュ済みパターン認識手段と、
    前記識別された設計パターンを前記格納された結果に置換する手段と、
    を含むパターン・キャッシング・ユニット。
  23. 前記キャッシュ済みパターン認識手段が、
    前記IC設計の各グリフの周辺の領域をスキャンして、前記以前に処理済みのパターンの1つと合致するパターンを探すためのパターン・スキャン手段と、
    合致しないパターンの各々のグリッド位置をマークするためのグリッド・マーキング手段と、
    前記IC設計を合致しないパターンに低減するための設計データ低減手段と、
    を含む、請求項22に記載のパターン・キャッシング・ユニット。
  24. 前記パターン・スキャン手段が、
    各グリッド点をスキャンし、該スキャンされた各グリッド点におけるパターンをチェックするためのパターン突き止め手段と、
    前記突き止められたパターンの各々をエンコードするためのエンコード手段と、
    前記エンコードされたパターンの各々と前記以前に処理済みのパターンとの合致があるか比較するためのパターン・マッチング手段と、
    を含む、請求項23に記載のパターン・キャッシング・ユニット。
  25. 各グリッド縁部が合致しないパターンの直近にあるかどうかを判断するための縁部選択手段と、
    前記縁部選択手段に応答してグリッド縁部を選択的に除去する手段と、
    をさらに含む、請求項22に記載のパターン・キャッシング・ユニット。
  26. 集積回路(IC)設計を対話形式で受け取る対話型設計ユニットであって、前記IC設計の部分はグリッド及びグリフ形式で表されており、
    編集のためにセル・レイアウトを選択する手段と、
    選択された前記セル・レイアウトをグリッド環境の中に位置決めするための手段と、
    前記選択されたセル・レイアウトにグリフを付加する手段と、
    を含む対話型設計ユニット。
  27. 前記編集のためにセル・レイアウトを選択する手段が、
    前記セル・レイアウトを選択する手段と、
    前記選択されたセル・レイアウトを表示する手段と、
    前記選択されたセル・レイアウトのレイヤーを選択する手段と、
    を含む、請求項26に記載の設計システム。
  28. 前記選択されたセル・レイアウトを位置決めする手段が、
    前記グリッドを定める手段と、
    前記グリッド内の前記選択されたセルを表示する手段と、
    を含む、請求項26に記載の設計システム。
  29. 前記グリフを付加する手段が、
    グリフ・タイプを選択する手段と、
    グリッド点を選択し、該選択されたグリッド点に前記選択されたタイプのグリフを付加する手段と、
    を含む、請求項26に記載の設計システム。
  30. 前記対話型設計ユニットが、
    グリフ挙動規則を格納するグリフ挙動規則記憶域と、
    前記付加されたグリフの各々が前記グリフ挙動規則と適合するかチェックする手段と、
    をさらに含む、請求項26に記載の設計システム。
  31. 集積回路(IC)設計からグリッド及びグリフ形式で表されたターゲット・レイアウトを生成する仕上げユニットであって、
    仕上げ規則を格納する仕上げ規則記憶域と、
    前記仕上げ規則をソートする規則ソータと、
    グリフが前記仕上げ規則のいずれかと合致するかをチェックし、合致するグリフが前記仕上げ規則の中の合致した1つに応答して拡張されるチェック・ユニットと、
    を含む仕上げユニット。
  32. 前記ソータが詳細度に基づいて前記仕上げ規則をソートする、請求項31に記載の仕上げユニット。
  33. 前記ソータが前記仕上げ規則を最も詳細なものから最も詳細でないものまでソートする、請求項32に記載の仕上げユニット。
  34. 前記仕上げが、前記拡張されたグリフの各々及び拡張部分グリフを処理済みとしてマークする、請求項31に記載の仕上げユニット。
  35. グリッド及びグリフ形式で表された集積回路(IC)の設計を対話形式でチェックし、前記IC設計をチェックするチェック・ユニットであって、
    グリッド及びグリフ設計規則を格納する設計規則記憶域と、
    前記グリッド及びグリフ設計規則をソートする規則ソータと、
    チェックのためにグリフを選択し、前記選択されたグリフが前記選択されたグリッド及びグリフ設計規則の少なくとも1つと適合するかをチェックする形状チェッカーと、
    前記形状チェッカーの結果に応答してチェック済みグリフをマークするグリフ・マーキング・ユニットと、
    を含むチェック・ユニット。
  36. 前記規則ソータが前記グリッド及びグリフ設計規則をサブジェクトごとにソートする、請求項35に記載のチェック・ユニット。
  37. 前記形状チェッカーが、
    1つの設計レイヤー上の1つのグリフを選択するグリフ・セレクタと、
    チェックのために前記グリッド及びグリフ設計規則から少なくとも1つを選択する規則セレクタと、
    を含む、請求項35に記載のチェック・ユニット。
  38. 前記格納されたグリッド及びグリフ設計規則が違反規則及び任意規則を含み、前記グリフ・マーキング・ユニットが、前記違反規則の1つの違反についてのエラーを表示する、請求項35に記載のチェック・ユニット。
  39. 前記チェックユニットがさらに、前記グリッド及びグリフ設計規則の各々のコンテキスト・サイズを判断し、かつ前記選択されたグリフの各々の前記コンテキスト・サイズを収集する、コンテキスト収集機能を含む、請求項35に記載のチェックユニット。
  40. 前記格納されたグリッド及びグリフ設計規則が、設計形状とのグリフ対話を支配する規則を含み、前記コンテキスト収集機能が、周辺の設計形状を識別する、請求項39に記載のチェック・ユニット。
  41. 前記形状チェッカーが、設計形状とのグリフ対話を支配する前記規則に照らして、収集された前記コンテキストをチェックする、請求項40に記載のチェック・ユニット。
  42. 集積回路(IC)設計のためのコンピュータで実行可能なコンピュータ・プログラムであって、前記コンピュータ・プログラムは、コンピュータ可読プログラムコードを有し、前記コンピュータ可読プログラムコードは、
    集積回路(IC)設計の回路データを受け取り、前記IC設計の部分をグリッド及びグリフ形式で表すためのコンピュータ可読プログラムコード手段と、
    表された前記部分のグリッド及びグリフ部分をチェックするためのコンピュータ可読プログラムコード手段と、
    前記部分のチェック済みの各々1つからターゲット・レイアウトを生成するためのコンピュータ可読プログラムコード手段と、
    前記ターゲット・レイアウトをマスクに変換するためのコンピュータ可読プログラムコード手段と、
    前記部分を、以前に処理されてキャッシュ済みのパターンの結果でもって、選択的に置換するコンピュータ可読プログラムコード手段と
    含む、コンピュータ・プログラム。
  43. 前記グリッド及びグリフ形式のグリフが、点グリフ、棒グリフ、及び方形グリフを含む、請求項42に記載のコンピュータ・プログラム。
  44. 前記チェックするためのコンピュータ可読プログラムコード手段が、
    格納されたグリッド及びグリフ設計規則を取得するためのコンピュータ可読プログラムコード手段と、
    前記グリッド及びグリフ設計規則をソートするためのコンピュータ可読プログラムコード手段と、
    チェックのためにグリフを選択するためのコンピュータ可読プログラムコード手段と、
    前記選択されたグリフが前記選択されたグリッド及びグリフ設計規則の1つと適合するか比較するためのコンピュータ可読プログラムコード手段と、
    前記比較の結果を示すためのコンピュータ可読プログラムコード手段と、
    を含む、請求項42に記載のコンピュータ・プログラム。
  45. 前記グリフを選択するための前記コンピュータ可読プログラムコード手段が
    設計レイヤーを選択するためのコンピュータ可読プログラムコード手段と、
    前記選択された設計レイヤー上のグリフを選択するためのコンピュータ可読プログラムコード手段と、
    チェックのために前記グリッド及びグリフ設計規則のうちの1つを選択するためのコンピュータ可読プログラムコード手段と、
    を含む、請求項44に記載のコンピュータ・プログラム。
  46. 前記比較の結果を示すためのコンピュータ可読プログラムコード手段が、1つの格納された前記グリッド及びグリフ設計規則に違反したと判断してエラーを示す、請求項44に記載のコンピュータ・プログラム。
  47. 前記比較の結果を示すためのコンピュータ可読プログラムコード手段が、前記グリッド及びグリフ設計任意規則の違反を選択的に無視する、請求項46に記載のコンピュータ・プログラム。
  48. 前記チェックするための前記コンピュータ可読プログラムコード手段が
    前記グリッド及びグリフ設計規則の各々のコンテキスト・サイズを判断するためのコンピュータ可読プログラムコード手段と、
    前記選択されたグリフの前記コンテキスト・サイズを収集するためのコンピュータ可読プログラムコード手段と
    をさらに含む、請求項42に記載のコンピュータ・プログラム。
  49. チェックするためのコンピュータ可読プログラムコード手段が、設計形状とのグリフ対話を支配する前記グリッド及びグリフ設計規則の1つに応答して、収集されたコンテキスト・サイズを設計形状とのグリフ対話を支配する前記規則に照らしてチェックし、前記コンテキスト・サイズを判断するためのコンピュータ可読プログラムコード手段が、周辺の設計形状を識別する、請求項48に記載のコンピュータ・プログラム。
  50. 前記ターゲット・レイアウトを生成するための前記コンピュータ可読プログラムコード手段が、
    格納された仕上げ規則を取得するためのコンピュータ可読プログラムコード手段と、
    前記取得された仕上げ規則をソートするためのコンピュータ可読プログラムコード手段と、
    グリフが選択された前記取得された仕上げ規則のいずれかと合致するかをチェックするためのコンピュータ可読プログラムコード手段と、
    前記仕上げ規則の中の合致したものに応答してグリフを拡張するためのコンピュータ可読プログラムコード手段と、
    を含む、請求項42に記載のコンピュータ・プログラム。
  51. ソートするためのコンピュータ可読プログラムコード手段が、前記仕上げ規則を最も詳細なものから最も詳細でないものまでソートする、請求項50に記載のコンピュータ・プログラム。
  52. 前記ターゲット・レイアウトを生成するための前記コンピュータ可読プログラムコード手段が、前記拡張されたグリフの各々を処理済みとしてマークするためのコンピュータ可読プログラムコード手段をさらに含む、請求項50に記載のコンピュータ・プログラム。
  53. 前記部分の1つを選択的に置き換えるための前記コンピュータ可読プログラムコード手段が、
    以前に処理済みのパターンの結果をパターン記憶域から取得するためのコンピュータ可読プログラムコード手段と、
    前記IC設計の中の設計パターンを、前記以前に処理済みのパターンの1つに対応するものとして識別するためのコンピュータ可読プログラムコード手段と、
    前記識別された設計パターンを前記処理済みのパターンの結果に置換するためのコンピュータ可読プログラムコード手段と、
    を含む、請求項42に記載のコンピュータ・プログラム。
  54. 前記設計パターンを識別するためのコンピュータ可読プログラムコード手段が、
    前記IC設計の各グリフの周辺の領域をスキャンして前記以前に処理済みのパターンの1つと合致するパターンを探すためのコンピュータ可読プログラムコード手段と、
    合致しないパターンの各々のグリッド位置をマークするためのコンピュータ可読プログラムコード手段と、
    前記IC設計を合致しないパターンに低減するためのコンピュータ可読プログラムコード手段と、
    を含む、請求項53に記載のコンピュータ・プログラム。
  55. 前記各グリフの周辺の領域をスキャンするためのコンピュータ可読プログラムコード手段が、
    各グリッド点をスキャンし、前記各グリッド点におけるパターンをチェックするためのコンピュータ可読プログラムコード手段と、
    突き止められた前記パターンの各々をエンコードするためのコンピュータ可読プログラムコード手段と、
    前記エンコードされたパターンの各々と前記以前に処理済みのパターンとの合致を比較するためのコンピュータ可読プログラムコード手段と、
    を含む、請求項54に記載のコンピュータ・プログラム。
  56. 前記部分の1つを選択的に置き換えるための前記コンピュータ可読プログラムコード手段が、
    合致しないパターンの直近にある各グリッド縁部をマークするためのコンピュータ可読プログラムコード手段と、
    マークされていないグリッド縁部を選択的に除去するためのコンピュータ可読プログラムコード手段と、
    を含む、請求項55に記載のコンピュータ・プログラム。
  57. 前記回路データを受け取るための前記コンピュータ可読プログラムコード手段が、回路設計を対話形式で受け取り、前記回路設計のグリッド及びグリフ表現を与えるためのコンピュータ可読プログラムコード手段を含む、請求項42に記載のコンピュータ・プログラム。
  58. 前記回路設計を対話形式で受け取るための前記コンピュータ可読プログラムコード手段が、
    編集のためにセル・レイアウト選択を受け取るためのコンピュータ可読プログラムコード手段と、
    グリッド選択を受け取るためのコンピュータ可読プログラムコード手段であって、前記グリッド選択の中で前記セル・レイアウト選択が選定されるコンピュータ可読プログラムコード手段と、
    前記セル・レイアウト選択にグリフを付加するためのコンピュータ可読プログラムコード手段と、
    を含む、請求項57に記載のコンピュータ・プログラム。
  59. 前記セル・レイアウト選択を受け取るための前記コンピュータ可読プログラムコード手段が、
    セル選択入力に応答して前記セル・レイアウトを選択するためのコンピュータ可読プログラムコード手段と、
    前記選択されたセル・レイアウトを表示させるためのコンピュータ可読プログラムコード手段と、
    前記選択されたセル・レイアウトのレイヤーを選択するためのコンピュータ可読プログラムコード手段と、
    を含む、請求項58に記載のコンピュータ・プログラム。
  60. グリフを付加するための前記コンピュータ可読プログラムコード手段がグリフ・タイプ及びグリッド点を選択する手段を含む、請求項58に記載のコンピュータ・プログラム。
  61. 前記回路データを受け取るための前記コンピュータ可読プログラムコード手段が、
    格納されたグリフ挙動規則を取得するためのコンピュータ可読プログラムコード手段と、
    付加されたグリフの各々が前記グリフ挙動規則と適合するかチェックするためのコンピュータ可読プログラムコード手段と、
    をさらに含む請求項58に記載のコンピュータ・プログラム。
  62. 前記ターゲット・レイアウトをマスクへと変換するための前記コンピュータ可読プログラムコード手段が、
    準備された前記ターゲット・レイアウトからマスク形状を生成するためのコンピュータ可読プログラムコード手段と、
    生成された前記マスク形状からウェハ外形を生成するためのコンピュータ可読プログラムコード手段と、
    生成された前記ウェハ外形から回路モデルを抽出するためのコンピュータ可読プログラムコード手段と、
    前記回路モデルから期待されるIC電子的パラメータを生成するためのコンピュータ可読プログラムコード手段と、
    を含む請求項42に記載のコンピュータ・プログラム。
  63. 集積回路(IC)の設計方法であって、
    a)少なくとも1つの回路がグリッド入りグリフ幾何オブジェクト(L3GO)形式を用いたレイアウトであり、前記少なくとも1つの回路が前記L3GO形式のグリッド上に設置されたグリフとして表される、回路設計を入力するステップと、
    b)前記回路設計のL3GO部分がL3GO規則と適合するか、及び回路が既出のL3GOパターンに合致するかをチェックするステップと、
    c)前記チェックされたL3GO部分からターゲット・レイアウトを生成し、キャッシュされたターゲット・レイアウト結果が前記既出のL3GOパターンと合致する前記L3GO部分の1つに置換されるステップと、
    d)前記ターゲット・レイアウトからマスクを生成し、それにキャッシュされたマスクパターン結果が含められるステップと、
    を含む、集積回路(IC)の設計方法。
  64. 前記a)の回路設計を入力するステップが、
    i)1つのL3GO回路のセル・レイヤーを選択するステップと、
    ii)選択された前記セル・レイヤーのグリッドを定めるステップと、
    iii)前記セル・レイヤーに付加されるグリフを選択するステップと
    iv)少なくとも1つのグリッド点を選択し、該少なくとも1つのグリッド点に前記選択されたグリフが付加され、前記付加されたグリフの各々が前記L3GO規則に照らしてチェックされるステップと、
    を含む、請求項63に記載のIC設計方法。
  65. 前記i)のセル・レイヤーを選択するステップが、
    A)前記1つのL3GO回路を含むセルを選択するステップと、
    B)選択された前記セルを表示するステップと、
    C)表示された前記セルのレイヤーを選択するステップと、
    を含む、請求項64に記載のIC設計方法。
  66. 前記グリッドが以前に定められている場合、その以前に定められているグリッドが、前記ii)のグリッドを定めるステップにおいて前記グリッドを定める、請求項64に記載のIC設計方法。
  67. 前記ステップiii)で選択される前記グリフが、点グリフ、棒グリフ、及び方形グリフからなるグリフの群から選択される、請求項64に記載のIC設計方法。
  68. 前記ステップiii)が、前記選択された点グリフの各々に関して単一のグリッド点を選択し、前記選択された棒グリフの各々及び前記選択された方形グリフの各々に関して2つのグリッド点を選択することを含む、請求項67に記載のIC設計方法。
  69. v)前記ステップi)のC)に戻り、全てのレイヤーが選択されるまで、前記表示されたセルから別のセル・レイヤーを選択するステップと、
    vi)全てのレイヤーが選択された時に、前記1つのL3GO回路として別のL3GO回路を選択し、前記ステップi)のA)に戻るステップと、
    をさらに含む、請求項65に記載のIC設計方法。
  70. 前記b)のL3GO部分をチェックするステップが、
    i)前記L3GO規則の各々のコンテキスト・サイズを判断するステップと、
    ii)セル・レイヤーのグリフを選択するステップと、
    iii)前記グリフを、前記コンテキスト・サイズ内の前記L3GO規則の各々と合致するか、最後の前記L3GO規則がチェックされるまで繰り返しチェックするステップと、
    iv)前記最後のL3GO規則がチェックされた時に、前記最後のグリフが選択されるまで前記ステップii)に戻るステップと、
    v)前記最後のグリフが選択された時に、別のセル・レイヤーを選択して前記ステップii)に戻るステップと、
    を含む、請求項63に記載のIC設計方法。
  71. 前記i)のコンテキスト・サイズを判断するステップの前に、
    i1)前記L3GO規則をソートするステップ、
    をさらに含む、請求項70に記載のIC設計方法。
  72. 前記i1)の前記L3GO設計規則をソートするステップが、
    A)前記L3GO規則をサブジェクトごとにソートするステップと、
    B)部分的にソートされた前記L3GO規則を違反の許容可能性ごとにソートするステップと、
    を含む、請求項70に記載のIC設計方法。
  73. 前記iii)の繰り返しチェックするステップが、
    A)ソートされた前記L3GO設計規則の1つをソート順に選択するステップと、
    B)前記コンテキスト・サイズを収集するステップと、
    C)前記選択されたL3GO設計規則と合致するか前記グリフをチェックするステップと、
    D)いずれかの合致する前記グリフをエラーとして又は許容可能としてマークするステップと、
    を含む、請求項70に記載のIC設計方法。
  74. 前記ステップiii)のステップC)で合致しないいずれかのグリフのために、前記ステップA)に戻って、前記ソートされたL3GO設計規則から次の1つを選択することを含む、請求項73に記載のIC設計方法。
  75. 前記c)のターゲット・レイアウトを生成するステップが、
    i)グリフ拡張を支配する仕上げ規則を選択するステップと、
    ii)前記回路設計のグリフをスキャンして、前記選択された仕上げ規則の適用のためにグリフを識別するステップと、
    iii)前記選択された仕上げ規則を各識別された1つの前記グリフに適用するステップと、
    iv)各識別された1つの前記グリフを処理済みとしてマークするステップと、
    を含む、請求項63に記載のIC設計方法。
  76. 前記i)の仕上げ規則を選択するステップが、
    A)仕上げ規則を最も詳細なものから最も詳細でないものまでソートすることと、
    B)前記仕上げ規則のうち最も詳細な1つを選択することと、
    を含む、請求項75に記載のIC設計方法。
  77. 前記c)のターゲット・レイアウトを生成するステップが、ステップi)−ステップiv)を繰り返し、全ての仕上げ規則が選択され終わるまで、前記仕上げ規則の各々がソート順に選択されることを含む、請求項76に記載のIC設計方法。
  78. 前記c)のターゲット・レイアウトを生成するステップにおいて、前記グリフ及びサブグリフに前記仕上げ規則が適用される、請求項77に記載のIC設計方法。
  79. 前記d)のターゲット・レイアウトから前記マスクを生成するステップが、
    i)マスク形状生成のために前記ターゲット・レイアウトを準備するステップと、
    ii)準備された前記ターゲット・レイアウトからマスク形状データを生成するステップと、
    iii)前記マスク形状データからウェハ外形を生成するステップと、
    iv)前記ウェハ外形から回路モデルを生成するステップと、
    を含む、請求項63に記載のIC設計方法。
  80. 各ステップ(a)−ステップ(f)において、前記既出のL3GOパターンを含む既出のパターンがないか前記回路設計をモニタすることと、前記ステップの各々において、また前記ステップの組み合わせにおいて、前記既出のパターンが出てきた時にはいつでも既出のパターンの前記キャッシュ済み結果を与えることと、をさらに含む請求項79に記載のIC設計方法。
  81. a)前記回路設計に既出のグリフ・パターンがないか、回路設計の中のグリフ・パターンをモニタすることと、
    b)識別された前記既出のグリフ・パターンを以前に判断済みの結果と置き換えることと、
    を含むIC設計方法。
  82. 前記a)のグリフ・パターンをモニタするステップが
    i)グリッド及びグリフ設計の中の各々のグリッド点について、グリフ占有を表示するグリフ・パターンをエンコードするステップと、
    ii)各エンコードされた前記表示を、前記グリフ・パターンに対応するキャッシュ済みのエンコードされた表示に照らしてチェックするステップと、
    iii)合致しない前記グリッド点の各々について隣接縁部の各々をマークするステップと、
    iv)前記グリッド及びグリフ設計から、マークされた前記グリッド点の隣接でないいずれかの縁部を除去するステップと、
    を含む、請求項81に記載のIC設計方法。
  83. i)のエンコードするステップが、グリフの隣接縁部との交点を示すパターンをエンコードすることを含む、請求項82に記載のIC設計方法。
  84. 前記エンコードされたパターンが、選択された前記グリッド点における、12の前記隣接縁部とのグリフの交点を示す12ビット・パターンである、請求項83に記載のIC設計方法。
  85. 前記b)の識別された前記既出のグリフ・パターンを置き換えるステップが、
    i)1つの前記グリッド点を選択するステップと、
    ii)選択された前記グリッド点の近傍にある残りの前記縁部について、前記選択されたグリッド点におけるパターンの前記エンコードが、以前に選択済みの前記グリッド点における以前にチェック済みのパターンと合致するかをチェックするステップと、
    iii)前記選択されたグリッド点における前記以前にチェック済みのパターンの結果と置換するステップと、
    を含む、請求項82に記載のIC設計方法。
  86. 前記ステップb)のi)−(iii)を、全てのグリッド点が選択されるまで繰り返すことをさらに含む、請求項85に記載のIC設計方法。
JP2005233945A 2004-08-12 2005-08-12 物理的設計システム及び方法 Expired - Fee Related JP4608392B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/917,193 US7536664B2 (en) 2004-08-12 2004-08-12 Physical design system and method

Publications (3)

Publication Number Publication Date
JP2006059348A true JP2006059348A (ja) 2006-03-02
JP2006059348A5 JP2006059348A5 (ja) 2008-07-24
JP4608392B2 JP4608392B2 (ja) 2011-01-12

Family

ID=35801456

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005233945A Expired - Fee Related JP4608392B2 (ja) 2004-08-12 2005-08-12 物理的設計システム及び方法

Country Status (4)

Country Link
US (3) US7536664B2 (ja)
JP (1) JP4608392B2 (ja)
CN (1) CN100570855C (ja)
TW (1) TWI349864B (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009527057A (ja) * 2006-02-17 2009-07-23 メンター・グラフィクス・コーポレーション Icレイアウトの電気特性の計算
JP2012501475A (ja) * 2008-09-01 2012-01-19 ディー・ツー・エス・インコーポレイテッド 可変整形ビームリソグラフィを用いたレチクルの設計および製造のための方法
US8669023B2 (en) 2008-09-01 2014-03-11 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
US8828628B2 (en) 2008-09-01 2014-09-09 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8916315B2 (en) 2009-08-26 2014-12-23 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9091946B2 (en) 2011-04-26 2015-07-28 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9372391B2 (en) 2008-09-01 2016-06-21 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7400167B2 (en) * 2005-08-16 2008-07-15 Altera Corporation Apparatus and methods for optimizing the performance of programmable logic devices
US7536664B2 (en) * 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
US7458045B2 (en) * 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
US7302651B2 (en) * 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
WO2006063147A2 (en) * 2004-12-08 2006-06-15 Kenneth Robert Hepp Software system for automated design in multi-step sheet metal forming
US7657852B2 (en) * 2005-08-16 2010-02-02 Pulsic Limited System and technique of pattern matching and pattern replacement
US7624343B2 (en) * 2005-09-16 2009-11-24 Microsoft Corporation Performance optimization for text layout processing
JP5080578B2 (ja) * 2006-08-04 2012-11-21 サガンテック イスラエル リミテッド 回路レイアウトを所定のグリッドに適応させるための方法及びシステム
US10643015B2 (en) 2006-10-09 2020-05-05 Mentor Graphics Corporation Properties in electronic design automation
US7814443B2 (en) * 2007-01-16 2010-10-12 International Business Machines Corporation Graph-based pattern matching in L3GO designs
JP4335933B2 (ja) 2007-03-22 2009-09-30 Necエレクトロニクス株式会社 半導体集積回路及び半導体集積回路の設計プログラム
US7765020B2 (en) * 2007-05-04 2010-07-27 Applied Materials, Inc. Graphical user interface for presenting multivariate fault contributions
US8042070B2 (en) 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
US7873936B2 (en) * 2008-01-04 2011-01-18 International Business Machines Corporation Method for quantifying the manufactoring complexity of electrical designs
US20090187867A1 (en) * 2008-01-22 2009-07-23 Lawrence Jay A Techniques for Verifying Error Detection of a Design Rule Checking Runset
US7900178B2 (en) * 2008-02-28 2011-03-01 International Business Machines Corporation Integrated circuit (IC) design method, system and program product
US8423947B2 (en) * 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US8051391B2 (en) * 2008-08-04 2011-11-01 Texas Instruments Incorporated Method for layout of random via arrays in the presence of strong pitch restrictions
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
GB0818308D0 (en) 2008-10-07 2008-11-12 Helic S A Expert system-based integrated inductor synthesis and optimization
US8443322B2 (en) * 2009-03-19 2013-05-14 International Business Machines Corporation Using layout enumeration to facilitate integrated circuit development
US8912012B2 (en) 2009-11-25 2014-12-16 Qualcomm Incorporated Magnetic tunnel junction device and fabrication
US8458635B2 (en) 2009-12-04 2013-06-04 Synopsys, Inc. Convolution computation for many-core processor architectures
US8543958B2 (en) * 2009-12-11 2013-09-24 Synopsys, Inc. Optical proximity correction aware integrated circuit design optimization
US8775979B2 (en) * 2010-01-30 2014-07-08 Synopsys. Inc. Failure analysis using design rules
US8631379B2 (en) * 2010-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decomposing integrated circuit layout
FR2960992B1 (fr) * 2010-06-02 2013-05-10 St Microelectronics Rousset Procede de conception de masques pour la formation de composants electroniques
US9033253B2 (en) * 2010-07-28 2015-05-19 Hewlett-Packard Development Company, L.P. Designer-adaptive visual codes
CN102479273A (zh) * 2010-11-26 2012-05-30 英业达股份有限公司 布局侦错方法
US9165403B2 (en) * 2011-01-14 2015-10-20 Intel Corporation Planetary scale object rendering
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
CN102890730B (zh) * 2011-07-20 2016-08-10 清华大学 一种集成电路版图验证中矩形包含规则的验证方法
US9152039B2 (en) * 2011-10-18 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple patterning technology method and system for achieving minimal pattern mismatch
US8769475B2 (en) * 2011-10-31 2014-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method, system and software for accessing design rules and library of design features while designing semiconductor device layout
TWI574136B (zh) * 2012-02-03 2017-03-11 應用材料以色列公司 基於設計之缺陷分類之方法及系統
US9003349B1 (en) 2013-06-28 2015-04-07 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing a physical electronic design with area-bounded tracks
US9117052B1 (en) 2012-04-12 2015-08-25 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for interactively implementing physical electronic designs with track patterns
US9251299B1 (en) 2013-06-28 2016-02-02 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for associating track patterns with rules for electronic designs
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US11468218B2 (en) * 2012-08-28 2022-10-11 Synopsys, Inc. Information theoretic subgraph caching
US9720792B2 (en) 2012-08-28 2017-08-01 Synopsys, Inc. Information theoretic caching for dynamic problem generation in constraint solving
US9213793B1 (en) 2012-08-31 2015-12-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs using flexible routing tracks
US9104830B1 (en) 2013-06-28 2015-08-11 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for assigning track patterns to regions of an electronic design
US8850378B2 (en) * 2012-10-31 2014-09-30 International Business Machines Corporation Hierarchical design of integrated circuits with multi-patterning requirements
US8601419B1 (en) * 2012-11-05 2013-12-03 Synopsys, Inc. Accurate process hotspot detection using critical design rule extraction
US9690880B2 (en) * 2012-11-27 2017-06-27 Autodesk, Inc. Goal-driven computer aided design workflow
CN103019729B (zh) * 2012-12-21 2017-01-25 曙光信息产业(北京)有限公司 格点转换的实现方法和装置
US8863048B1 (en) 2013-03-15 2014-10-14 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing multiple-patterning-aware correct-by-construction layout processing for an electronic design
US9286432B1 (en) * 2013-03-15 2016-03-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing correct-by-construction physical designs with multiple-patterning-awareness
US9087174B1 (en) 2013-03-15 2015-07-21 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing multiple-patterning-aware design rule check for electronic designs
US8762898B1 (en) * 2013-04-12 2014-06-24 Freescale Semiconductor, Inc. Double patterning aware routing without stitching
KR102224518B1 (ko) * 2013-06-24 2021-03-08 삼성전자주식회사 더블 패터닝 레이아웃 설계 방법
US9165103B1 (en) 2013-06-28 2015-10-20 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for tessellating and labeling routing space for routing electronic designs
US9355202B2 (en) * 2013-11-05 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Promoting efficient cell usage to boost QoR in automated design
US10083269B2 (en) 2013-11-19 2018-09-25 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component
US9659125B2 (en) * 2014-01-28 2017-05-23 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component
US9697313B2 (en) * 2014-09-19 2017-07-04 Synopsys, Inc. Organization for virtual-flat expansion of physical data in physically-hierarchical IC designs
US9594867B2 (en) 2014-10-30 2017-03-14 Synopsys, Inc. DRC-based hotspot detection considering edge tolerance and incomplete specification
CN105989202B (zh) * 2015-02-04 2019-04-09 中芯国际集成电路制造(上海)有限公司 一种对版图进行drc验证的方法
US9846934B2 (en) 2015-04-13 2017-12-19 Anchor Semiconductor Inc. Pattern weakness and strength detection and tracking during a semiconductor device fabrication process
US10783311B2 (en) 2016-10-31 2020-09-22 Synopsys, Inc. DRC processing tool for early stage IC layout designs
WO2020108862A1 (en) 2018-11-26 2020-06-04 Asml Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
CN112668271A (zh) 2019-10-15 2021-04-16 台湾积体电路制造股份有限公司 集成电路器件设计方法和系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0896004A (ja) * 1994-09-26 1996-04-12 Nec Corp デザインルール検証システム
JP2000260879A (ja) * 1999-03-12 2000-09-22 Hitachi Ltd レイアウト設計支援装置、コンピュータ読み取り可能な記録媒体
JP2003162041A (ja) * 2001-11-26 2003-06-06 Toshiba Corp 光近接効果補正方法及び光近接効果補正システム

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0638266B2 (ja) * 1985-03-18 1994-05-18 株式会社日立製作所 設計支援方法及びその装置
US5287290A (en) * 1989-03-10 1994-02-15 Fujitsu Limited Method and apparatus for checking a mask pattern
US5416722A (en) * 1992-11-19 1995-05-16 Vlsi Technology, Inc. System and method for compacting integrated circuit layouts
US5694578A (en) * 1992-12-18 1997-12-02 Silicon Graphics, Inc. Computer-implemented method and apparatus for converting data according to a selected data transformation
JP3227056B2 (ja) * 1994-06-14 2001-11-12 富士通株式会社 図形処理方法及び図形処理装置
JP3351651B2 (ja) * 1995-04-07 2002-12-03 富士通株式会社 会話型回路設計装置
US5754826A (en) * 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
JP3934719B2 (ja) * 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
JPH1092938A (ja) * 1996-09-10 1998-04-10 Fujitsu Ltd レイアウト方法、レイアウト装置、及び、データベース
JP3063828B2 (ja) * 1997-03-27 2000-07-12 日本電気株式会社 集積回路の自動概略配線方法
US6952811B1 (en) * 1998-07-30 2005-10-04 Mitsubishi Denki Kabushiki Kaisha Ladder circuit editing system
US6460166B1 (en) * 1998-12-16 2002-10-01 International Business Machines Corporation System and method for restructuring of logic circuitry
JP3349989B2 (ja) * 1999-06-18 2002-11-25 エヌイーシーマイクロシステム株式会社 半導体集積回路装置及びそのレイアウト方法及び装置
JP2001014368A (ja) * 1999-06-30 2001-01-19 Mitsubishi Electric Corp クロック解析装置およびクロック解析方法
JP3372918B2 (ja) * 1999-12-21 2003-02-04 日本電気株式会社 設計支援システム及びセル配置方法
JP3805936B2 (ja) * 1999-12-28 2006-08-09 株式会社東芝 マスクパターン補正方法及びマスクパターン作成システム
AU2002257367A1 (en) * 2000-12-14 2002-08-06 Yu-Liang Wu System and method for alternative wiring using pre-analyzed patterns
US6493858B2 (en) * 2001-03-23 2002-12-10 The Board Of Trustees Of The Leland Stanford Jr. University Method and system for displaying VLSI layout data
US6574779B2 (en) * 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
JP2002312414A (ja) * 2001-04-13 2002-10-25 Toshiba Corp 半導体集積回路装置のレイアウト設計システム、配線設計方法、配線設計プログラム及び半導体集積回路装置の製造方法
JP4187947B2 (ja) * 2001-04-26 2008-11-26 株式会社東芝 パターン補正方法、パターン補正装置、およびパターン補正プログラムを記録した記録媒体
JP4929437B2 (ja) * 2001-08-03 2012-05-09 富士通セミコンダクター株式会社 集積回路の配線レイアウト方法
US7155698B1 (en) * 2001-09-11 2006-12-26 The Regents Of The University Of California Method of locating areas in an image such as a photo mask layout that are sensitive to residual processing effects
US6842881B2 (en) * 2002-07-30 2005-01-11 Photronics, Inc. Rule based system and method for automatically generating photomask orders in a specified order format
JP4224541B2 (ja) * 2002-03-20 2009-02-18 富士通マイクロエレクトロニクス株式会社 自動配置配線方法、装置及びプログラム
US6735749B2 (en) * 2002-03-21 2004-05-11 Sun Microsystems, Inc. (Design rule check)/(electrical rule check) algorithms using a system resolution
US6928634B2 (en) * 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
US6869739B1 (en) * 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
JP2004240801A (ja) * 2003-02-07 2004-08-26 Renesas Technology Corp 半導体集積回路の寄生容量抽出装置及び寄生容量抽出方法
US7093226B2 (en) * 2003-02-28 2006-08-15 Synopsys, Inc. Method and apparatus of wafer print simulation using hybrid model with mask optical images
US7010770B2 (en) * 2003-04-04 2006-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of wide wire identification
US7075532B2 (en) * 2003-05-23 2006-07-11 International Business Machines Corporation Robust tetrahedralization and triangulation method with applications in VLSI layout design and manufacturability
US6961920B2 (en) * 2003-09-18 2005-11-01 International Business Machines Corporation Method for interlayer and yield based optical proximity correction
US7047511B2 (en) * 2003-09-26 2006-05-16 International Business Machines Corporation Electronic circuit design
US7134103B2 (en) * 2003-12-17 2006-11-07 International Business Machines Corporation Method, system, and product for verifying voltage drop across an entire integrated circuit package
US7039896B2 (en) * 2003-12-18 2006-05-02 Lsi Logic Corporation Gradient method of mask edge correction
US7523429B2 (en) * 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
US7536660B2 (en) * 2004-02-24 2009-05-19 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US7653890B2 (en) * 2004-04-02 2010-01-26 Cadence Design Systems, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US20050229130A1 (en) * 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7536664B2 (en) * 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0896004A (ja) * 1994-09-26 1996-04-12 Nec Corp デザインルール検証システム
JP2000260879A (ja) * 1999-03-12 2000-09-22 Hitachi Ltd レイアウト設計支援装置、コンピュータ読み取り可能な記録媒体
JP2003162041A (ja) * 2001-11-26 2003-06-06 Toshiba Corp 光近接効果補正方法及び光近接効果補正システム

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009527057A (ja) * 2006-02-17 2009-07-23 メンター・グラフィクス・コーポレーション Icレイアウトの電気特性の計算
US9372391B2 (en) 2008-09-01 2016-06-21 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
JP2012501475A (ja) * 2008-09-01 2012-01-19 ディー・ツー・エス・インコーポレイテッド 可変整形ビームリソグラフィを用いたレチクルの設計および製造のための方法
US8669023B2 (en) 2008-09-01 2014-03-11 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
US8828628B2 (en) 2008-09-01 2014-09-09 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US10101648B2 (en) 2008-09-01 2018-10-16 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9715169B2 (en) 2008-09-01 2017-07-25 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9625809B2 (en) 2008-09-01 2017-04-18 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9274412B2 (en) 2008-09-01 2016-03-01 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8916315B2 (en) 2009-08-26 2014-12-23 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9091946B2 (en) 2011-04-26 2015-07-28 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography

Also Published As

Publication number Publication date
US8219943B2 (en) 2012-07-10
US20090204930A1 (en) 2009-08-13
CN100570855C (zh) 2009-12-16
TWI349864B (en) 2011-10-01
US20120167029A1 (en) 2012-06-28
JP4608392B2 (ja) 2011-01-12
US8473885B2 (en) 2013-06-25
US20060036977A1 (en) 2006-02-16
US7536664B2 (en) 2009-05-19
TW200609782A (en) 2006-03-16
CN1734744A (zh) 2006-02-15

Similar Documents

Publication Publication Date Title
JP4608392B2 (ja) 物理的設計システム及び方法
US7480878B2 (en) Method and system for layout versus schematic validation of integrated circuit designs
US9122836B2 (en) Recognition of template patterns with mask information
US7818707B1 (en) Fast pattern matching
US8464187B1 (en) Integrated circuit having direction dependent wiring
JP4999013B2 (ja) 集積化されたopc検証ツール
US7945871B2 (en) Integrated OPC verification tool
US8079005B2 (en) Method and system for performing pattern classification of patterns in integrated circuit designs
US6536023B1 (en) Method and system for hierarchical metal-end, enclosure and exposure checking
US20120047479A1 (en) Incremental Layout Analysis
US20240126973A1 (en) Post-Routing Congestion Optimization
US20230274067A1 (en) Using pixel-based definition of an integrated circuit design to perform machine-trained routing
US20230282635A1 (en) Integrated circuit with non-preferred direction curvilinear wiring
US8316331B1 (en) Method and mechanism for implementing extraction for an integrated circuit design
JP2003518680A (ja) 集積回路設計における、特徴を変換する方法及びその装置
US11301614B1 (en) Feasibility analysis of engineering change orders
JPH10240783A (ja) フォトマスクパターン設計装置およびフォトマスクパターン設計方法
JP2015215781A (ja) 設計支援方法、設計支援プログラム、および設計支援装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080609

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100608

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20100617

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100617

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100824

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101005

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20101005

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101008

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131015

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees