JP2006049900A - 半導体素子の金属配線形成方法 - Google Patents

半導体素子の金属配線形成方法 Download PDF

Info

Publication number
JP2006049900A
JP2006049900A JP2005221836A JP2005221836A JP2006049900A JP 2006049900 A JP2006049900 A JP 2006049900A JP 2005221836 A JP2005221836 A JP 2005221836A JP 2005221836 A JP2005221836 A JP 2005221836A JP 2006049900 A JP2006049900 A JP 2006049900A
Authority
JP
Japan
Prior art keywords
film
diffusion barrier
via hole
forming
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005221836A
Other languages
English (en)
Inventor
Jeong-Hoon Son
正勳 孫
Hyeok-Sang Oh
赫祥 呉
Sung-Il Kim
成一 金
Shukaku Tei
周赫 鄭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2006049900A publication Critical patent/JP2006049900A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】エッチング停止膜のアンダーカットなどのプロファイル不良を防止する半導体素子の金属配線形成方法を提供する。
【解決手段】半導体素子の金属配線形成方法は、まず、導電性パターンが埋め込まれている基板上に、エッチング阻止膜121,122及び絶縁膜131,132を順次に形成する。次いで、絶縁膜をパターニングしてエッチング阻止膜を露出させる開口部を形成する。次いで、開口部の内面に沿って第1拡散防止膜161を形成する。次いで、スパッタリング方式のエッチングを通じて、開口部の底面の第1拡散防止膜及びエッチング阻止膜を除去する。次いで、導電性パターンと電気的に連結される導電物質を、開口部に埋め込む。
【選択図】図10

Description

本発明は、半導体素子の金属配線形成方法に係り、より詳細には、ダマシーン工程による半導体素子の金属配線形成方法に関する。
ロジック素子の高速化、高集積化は急速に進みつつあるが、これは、トランジスタの微細化によってなされている。トランジスタの集積度向上に対応して配線は微細化されており、これによる配線遅延の問題が深刻化しており、素子の高速化を妨害する原因となっている。
このような状況で、従来からLSI(Large Scale Integration)の配線材料として、一般的に利用してきたアルミニウム合金の代りに、さらに抵抗が小さくて、かつ高いEM(Electro−migration)耐性を持つ材料である銅(Cu)を利用した配線が活発に開発されている。ところが、銅はエッチングが容易でなく、工程中に酸化される問題点によって、銅配線形成のためにはダマシーン工程を使用する。ダマシーン工程は、絶縁膜に上層配線が形成されて前記上層配線をそれぞれ隔離させるように、前記上層配線間にトレンチを形成し、また、その上層配線を下層配線または基板に接続するビアホールを形成し、銅を満たした後に化学機械的研磨(CMP:Chemical Mechanical Polishing)工程で平坦化する充填工程である。
前記ダマシーン工程は、前記トレンチとビアホールとを連続的に形成した後、同時に銅を満たすデュアルダマシーン工程方法と、前記トレンチまたはビアホールのうちいずれか一つのみを別途に形成した後、銅を満たすシングルダマシーン工程方法とに区分できる。
以下では、前記ビアホールを通じて前記下層配線と連結され、上層配線が満たされる前記トレンチ間の領域を配線領域と命名して説明する。
図1ないし図5は、従来技術による半導体素子の金属配線形成方法を工程順序によって示す断面図である。
従来技術による半導体素子の金属配線形成方法は、図1に示すように、まず、導電体パターン11が埋め込まれている半導体基板10上に第1エッチング阻止膜21及び第1絶縁膜31を形成し、次いで、第2エッチング阻止膜22及び第2絶縁膜32を連続的に形成する。
次いで、図2に示すように、前記第2絶縁膜32の上部にフォトレジストを塗布し、それをパターニングして、前記第2絶縁膜32の上面を第1幅W1だけ一部露出させる第1フォトレジストパターンPR1を形成する。次いで、前記第1フォトレジストパターンPR1をエッチングマスクとして、前記第1及び第2絶縁膜31、32と前記第2エッチング阻止膜22とをエッチングする。この時、前記エッチングは、前記第1エッチング阻止膜21が露出されるまで実施する。これにより、前記第1幅W1を持つビアホール40が形成される。次いで、前記第1フォトレジストパターンPR1を除去する。
次いで、図3に示すように、前記ビアホール40が形成されている前記第2絶縁膜32上に、前記第1幅W1より大きい第2幅W2の開口部を持つ第2フォトレジストパターンPR2を形成する。次いで、前記第2フォトレジストパターンPR2をエッチングマスクとして、前記第2絶縁膜32をエッチングする。この時、前記エッチングは、前記第2エッチング阻止膜22が露出されるまで実施する。これにより、前記第2絶縁膜32内に、前記第2幅W2を持つ配線領域50が形成される。次いで、前記第2フォトレジストパターンPR2を除去する。
次いで、図4に示すように、前記ビアホール40に露出された前記第1エッチング阻止膜21及び前記配線領域50に露出された前記第2エッチング阻止膜22を、ドライエッチング工程方式でエッチングする。これにより、前記ビアホール40の下部に前記導電体パターン11が露出される。一方、前記ドライエッチング工程後に、残留エッチングガス及び前記導電体パターン11上に形成された酸化膜などを除去するためのストリップ工程を進めるが、この時、SiNからなる前記第1及び第2エッチング阻止膜21、22のうち大気中に露出された部位は容易に酸化されて、前記ストリップ工程進行時に共に除去されつつ、ネガティブ勾配(negative−slope)を持つアンダーカットが発生する。
このようなアンダーカットが発生すれば、後続工程の拡散防止膜の形成工程及びシード層の形成工程で、前記拡散防止膜及びシード層が不連続的に蒸着される問題点が発生する。
すなわち、図5に示すように、基板上に段差に沿って均一に蒸着されるべき拡散防止膜60が不連続蒸着される。これにより、後続電解メッキ(ECP:Electro−Chemical Plating)及び熱処理工程で、前記導電体パターン11と電気的に連結されねばならない上部導電物質が、前記導電体パターン11から剥離される現象を誘発するという問題点がある。
韓国特許出願公開第2004-0029270号明細書
本発明が解決しようとする技術的課題は、エッチング阻止膜のアンダーカットなどのプロファイル不良を防止して、ビアホールまたは配線領域内に導電物質を良好に満たす半導体素子の金属配線形成方法を提供するところにある。
本発明が解決しようとする他の技術的課題は、基板上の導電性パターンが露出された後、渋滞期間なしに後続工程を進め、連続工程を行わせて導電体パターンの汚染及び酸化現象を防止する半導体素子の金属配線形成方法を提供するところにある。
本発明が解決しようとするさらに他の技術的課題は、工程を単純化する半導体素子の金属配線形成方法を提供するところにある。
本発明の目的のうちで、前記で言及した目的に限定されず、言及しなかったさらに他の目的は下の記載から当業者ならば明確に理解できる。
前記技術的課題を達成するための本発明による半導体素子の金属配線形成方法は、まず、導電性パターンが埋め込まれている基板上に、エッチング阻止膜及び絶縁膜を順次に形成する。次いで、前記絶縁膜をパターニングして前記エッチング阻止膜を露出させる開口部を形成する。次いで、前記開口部の内面に沿って第1拡散防止膜を形成する。次いで、スパッタリング方式のエッチングを通じて、前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜を除去する。次いで、前記導電性パターンと電気的に連結される導電物質を、前記開口部に埋め込む。
この時、前記開口部は、ビアホールまたは配線領域である。
また、前記スパッタリング方式のエッチング工程以後に、前記開口部の内面に沿って第2拡散防止膜を形成するステップをさらに含む。
ここで、前記第1及び第2拡散防止膜は、Ta膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成する。この時、前記第1拡散防止膜は、TaN膜で形成し、前記第2拡散防止膜は、Ta膜で形成する。
一方、前記スパッタリング方式のエッチングは、プラズマ状態のアルゴン粒子を前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜に向けて加速させて、前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜を形成する原子を他の位置に押し出して、前記第1拡散防止膜及び前記エッチング阻止膜を除去させる。
本発明の半導体素子の金属配線形成方法によれば、次のような効果が一つあるいはそれ以上ある。
エッチング阻止膜のアンダーカットなどのプロファイル不良を防止して、ビアホールまたは配線領域内に導電物質を良好に満たすことができる。
また、基板上の導電性パターンが露出された後、渋滞期間なしに後続工程が進む連続工程を行わせて、導電体パターンの汚染及び酸化現象を防止できる。
また、従来の導電性パターンを露出させるためのドライエッチング工程及びストリップ工程を省略して、工程を単純化できる。
本発明の利点及び特徴、そしてこれを達成する方法は添付された図面に基づいて詳細に後述されている実施例を参照すれば明確になる。しかし、本発明は以下で開示される実施例に限定されるものではなく、この実施例から外れて多様な形に具現でき、本明細書で説明する実施例は本発明の開示を完全にし、本発明が属する技術分野で当業者に発明の範ちゅうを完全に知らせるために提供されるものであり、本発明は請求項及び発明の詳細な説明により定義されるだけである。一方、明細書全体に亙って同一な参照符号は同一な構成要素を示す。
以下、添付した図面を参照して本発明の望ましい実施形態について詳細に説明する。
本発明の第1実施形態による半導体素子の金属配線形成方法は、図6に示すように、まず、下部配線となる導電体パターン111が埋め込まれている半導体基板110を設け、前記半導体基板110上に第1エッチング阻止膜121及び第1絶縁膜131を形成する。次いで、前記第1絶縁膜131上に第2エッチング阻止膜122及び第2絶縁膜132を連続的に形成する。
基板110としては、シリコン基板、SOI(Silicon On Insulator)基板、ガリウムヒ素基板、シリコンゲルマニウム基板、セラミック基板、石英基板、またはディスプレイ用ガラス基板などを例とすることができる。基板110上には多様な種類の能動素子及び受動素子などを含むことができる。前記導電体パターン111は、多様な種類の配線物質、例えば、銅、銅合金、アルミニウム、アルミニウム合金からなりうる。低抵抗の観点で、前記導電体パターン111は銅で形成されることが望ましい。
前記第1エッチング阻止膜121は、後続のビアホールの形成のためのエッチング工程時に、下部配線である導電体パターン111がエッチング工程に露出されて電気的特性が損傷することを防止するために形成する。したがって、前記第1エッチング阻止膜121は、その上に形成される第1絶縁膜131に対するエッチング選択比の大きい物質で形成する。また、前記第2エッチング阻止膜122は、後続の上部配線領域の形成のためのエッチング工程時に、下部の第1絶縁膜131がエッチング工程に露出されることを防止するために形成する。したがって、前記第2エッチング阻止膜122は、その上に形成される第2絶縁膜132に対するエッチング選択比の大きい物質で形成する。望ましくは、前記第1及び第2エッチング阻止膜121、122は、誘電率4〜5のSiC、SiN、SiCNで形成する。前記第1及び第2エッチング阻止膜121、122の厚さは、全体絶縁膜の誘電率におよぶ影響を考慮して最小化するが、エッチング阻止膜としての機能を行うのに十分な厚さで形成する。
前記第1及び第2絶縁膜131、132は、有機物の低誘電率特性と既存の装備及び工程をそのまま使用でき、熱的安定性に優れた無機物の特性をいずれも持つハイブリッド型低誘電率物質で形成する。下部配線である導電体パターン111と形成しようとするビアホール及び上部配線との間のRC信号遅延を防止し、相互干渉及び電力消費の増加を抑制するために、前記第1及び第2絶縁膜131、132は、誘電率3以下のハイブリッド型物質で形成する。最も望ましくは、低誘電率OSG(low k OrganoSilicateGlass)で絶縁膜131、132を形成する。このような絶縁膜131、132は、PECVD(Plasma Enhanced CVD)、HDP−CVD(High Density Plasma CVD)、APCVD(Atmospheric Pressure CVD)、スピンコーティング方式などを使用して形成できる。
次いで、図7に示すように、前記第2絶縁膜132の上部にフォトレジストを塗布し、それをパターニングして前記第2絶縁膜132の上面を第1幅W1だけ一部露出させる第1フォトレジストパターンPR1を形成する。この時、前記第1フォトレジストの開口パターンの位置は、下部の導電体パターン111層に投影した時、前記導電体パターン111の幅内で定義されることが望ましい。
次いで、前記第1フォトレジストパターンPR1をエッチングマスクとして、前記第1及び第2絶縁膜131、132と前記第2エッチング阻止膜122とをエッチングする。この時、前記エッチングは、前記第1エッチング阻止膜121が露出されるまで実施する。これにより、前記第1幅W1を持つビアホール140が形成される。次いで、前記第1フォトレジストパターンPR1を除去する。
次いで、図8に示すように、前記ビアホール140が形成されている前記第2絶縁膜132上に、前記第1幅W1と同じか、またはさらに広い第2幅W2の開口部を持つ第2フォトレジストパターンPR2を形成する。次いで、前記第2フォトレジストパターンPR2をエッチングマスクとして、前記第2絶縁膜132をエッチングする。この時、前記エッチングは、前記第2エッチング阻止膜122が露出されるまで実施する。これにより、前記第2絶縁膜132内に前記第2幅W2を持つ配線領域150が形成される。次いで、前記第2フォトレジストパターンPR2を除去する。一方、図面には図示されていないが、前記第2フォトレジストパターンPR2を形成するためのフォトレジスト塗布前に、前記ビアホール140内に低誘電率を持つ絶縁膜からなる媒介物質を満たした後、前記第2フォトレジストパターンPR2を形成させることができる。
次いで、図9に示すように、化学気相蒸着(CVD:Chemical Vapor Deposition)法またはスパッタリングなどの物理的気相蒸着(PVD:Physical Vapor Deposition)法を利用して、前記ビアホールの内面に沿って均一な厚さを持つように、第1拡散防止膜161を形成する。ここで、前記第1拡散防止膜161は、Ta膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成できる。
次いで、図10に示すように、スパッタリング方式のエッチングを通じて、前記ビアホール140底面の前記第1拡散防止膜161及び第1エッチング阻止膜121を除去して、前記導電体パターン111を露出させる。前記スパッタリング方式のエッチングは、イオン化されたアルゴン粒子(Ar)をターゲットに向けて加速させて、ターゲットを構成する原子が他の位置に押し出されてエッチングが行われる現象を利用したものである。
具体的に、プラズマ状態のアルゴン粒子(Ar)を、前記ビアホール140底面の第1拡散防止膜161に向けて加速させれば、前記第1拡散防止膜161及び前記第1拡散防止膜161の下部の第1エッチング阻止膜121を構成する原子が、前記アルゴン粒子(Ar)と衝突して放物線を描きつつ他の位置にリスパッタリングされる。これにより、前記ビアホール140の底面に位置する前記第1拡散防止膜161及び第1エッチング阻止膜121が除去される。ここで、前記ビアホール140の底面に位置した前記第1拡散防止膜161及び前記第1エッチング阻止膜121を構成する原子は、前記ビアホール140の側壁に沿って蒸着されてスパッタリング副産物170を形成する。一方、アルゴン粒子(Ar)を利用した前記スパッタリング方式のエッチング工程進行時に、前記ビアホール140の下部の位置のみだけでなく、ビアホールの内面に沿ってあらゆる位置の第1拡散防止膜161上にアルゴン粒子(Ar)が衝突する。ビアホール側壁は、アルゴン粒子(Ar)との衝突により放物線を描きつつ広がる第1拡散防止膜161及び前記第1エッチング阻止膜121を構成する原子によりリスパッタリングされる。アルゴン粒子(Ar)の衝突エネルギーは、衝突位置によって変わる。結果的に、前記ビアホール140の底面の位置を除外した他の所での前記スパッタリング方式のエッチングがおよぶ影響は、非常に微小である。したがって、前記スパッタリング方式のエッチング時間を適切に調節すれば、加速された速度の比較的速いビアホール140の底面の構成原子が選択的に完全に他の位置に押し出されて除去される。
次いで、図11に示すように、CVD(Chemical Vapor Deposition)法またはスパッタリングなどのPVD法を利用して前記ビアホールの内面を均一な厚さを持つように第2拡散防止膜162を形成する。この時、前記第2拡散防止膜162は、前記第1拡散防止膜161及び前記スパッタリング副産物170を覆うように形成する。
ここで、前記第2拡散防止膜162はTa膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成できる。
一方、前記第2拡散防止膜162は、後述する導電物質層180との接触力を増大させるように、前記Ta膜で形成することが望ましい。また、前記第2拡散防止膜162の内側に位置する前記第1拡散防止膜161は、前記導電物質層180が配線領域150及びビアホール140領域の外部に広がることを防止するように、拡散防止能力のよい前記TaN膜で形成することが望ましい。
また、前段階の工程であるスパッタリング方式エッチングを通じて、前記導電体パターン111を露出させた後、別途のストリップ工程を経ずに、直ちに前記第2拡散防止膜162を蒸着することにより、導電体パターン111が露出された状態の渋滞期間を最小化できる。
次いで、図12に示すように、ビアホールの内面に沿って形成された前記第2拡散防止膜162上に導電物シード層を形成した後、ECP(Electro−Chemical Plating)を実施して、前記ビアホール140及び前記配線領域150を埋め込むのに十分な厚さを持つ導電物質層180を形成する。
ここで、前記導電物質層180は、多様な導電物質及びそれらの組合わせでなることができ、前記導電物質層180は、銅(Cu)を含むことが望ましい。
次いで、前記導電物質層180は不均一な厚さに満たされるために、図13に示すように、前記第2絶縁膜132が露出されるように化学的機械研磨(CMP:Chemical Mechanical Polishing)工程を行って、平坦な金属配線を形成する。
したがって、本発明の第1実施形態によれば、ビアホール及び配線領域を形成した後、導電体パターンを露出させるためのドライエッチング工程及びストリップ工程を進める従来方式の代わりに、前記ビアホール140及び配線領域150の形成後直ちに第1拡散防止膜161を蒸着させた後、前記導電体パターン111が露出されるようにスパッタリング方式のエッチングを実施した。これにより、従来のドライエッチング工程及びストリップ工程による前記第1及び第2エッチング阻止膜121、122のアンダーカット発生を防止して、導電物質180をビアホール140及び配線領域150に良好に満たせる。
また、前記導電体パターン111を露出させた後、別途のストリップ工程を経ずに、直ちに第2拡散防止膜162を蒸着することにより、導電体パターン111が露出された状態の渋滞期間を最小化して、導電体パターン111の汚染及び酸化を防止できる。
また、前記ビアホール140及び配線領域150の形成後、前記エッチング阻止膜及び導電体パターン111上の酸化物などを除去するためのドライエッチング工程及びストリップ工程を実施せず、工程を単純化させることができる。
次いで、図14ないし図15を参照して、本発明の第2実施形態による半導体素子の金属配線形成方法を説明する。
図14ないし図15は、本発明の第2実施形態による半導体素子の金属配線形成方法を説明するための断面図である。
本発明の第2実施形態による半導体素子の金属配線形成方法は、前述した本発明の第1実施形態で、前記スパッタリング方式のエッチングを通じて前記ビアホール下部の前記第1拡散防止膜及び第1エッチング阻止膜を除去した後、前記第2拡散防止膜を蒸着しないことを除外すれば、本発明の第1実施形態と実質的に同一であるので、前の工程による図面とそれについての説明を省略する。
本発明の第2実施形態による半導体素子の金属配線形成方法は、前記スパッタリング方式のエッチング後に、図14に示すように、ビアホールの内面に沿って形成されている第1拡散防止膜261及びスパッタリング副産物270上に導電物シード層を形成し、次いで、ECP(Electro−Chemical Plating)を実施してビアホール及び配線領域を埋め込むのに十分な厚さを持つ導電物質層280を形成する。
この時、前段階の工程のスパッタリング方式のエッチングを通じて、前記導電体パターン211を露出させた後、別途のストリップ工程を経ずに、直ちに前記導電物質層280を形成することで、導電体パターン211が露出された状態の渋滞期間を最小化できる。
ここで、前記導電物質層280は、多様な導電物質及びそれらの組合わせからなることができ、前記導電物質層280は銅(Cu)を含むことが望ましい。
次いで、図15に示すように、前記導電物質層280は、不均一な厚さに満たされるため、第2絶縁膜232が露出されるようにCMP(Chemical Mechanical Polishing)工程を行って平坦な金属配線を形成する。
したがって、本発明の第2実施形態によれば、前述した本発明の第1実施形態と同じ効果を持つと同時に、本発明の第1実施形態で導電物質層280を形成する前に追加で蒸着させる拡散防止膜を別途に蒸着せずに、工程をさらに単純化させることができる。
次いで、図16ないし図23を参照して、本発明の第3実施形態による半導体素子の金属配線形成方法を説明する。
図16ないし図23は、本発明の第3実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。
本発明の第3実施形態による半導体素子の金属配線形成方法は、図16に示すように、まず、下部配線となる導電体パターン311が埋め込まれている半導体基板310を設け、前記半導体基板310上にエッチング阻止膜320及び絶縁膜330を、前述した第1実施形態で説明した物質及び形成方法を使用して連続的に形成する。
次いで、図17に示すように、前記絶縁膜330の上部にフォトレジストを塗布し、それをパターニングして前記絶縁膜330の上面を第1幅W1だけ一部露出させる第1フォトレジストパターンPR1を形成する。この時、前記第1フォトレジストの開口パターンの位置は、下部の導電体パターン311層に投影した時、前記導電体パターン311の幅内で定義されることが望ましい。
次いで、前記第1フォトレジストパターンPR1をエッチングマスクとして、前記絶縁膜330をエッチングする。この時、前記エッチングは、前記第1エッチング阻止膜320が露出されるまで実施する。これにより、前記第1幅W1を持つビアホール340が形成される。次いで、前記第1フォトレジストパターンPR1を除去する。
次いで、図18に示すように、前記ビアホール340が形成されている前記絶縁膜330上に、前記第1幅W1と同じか、またはさらに広い第2幅W2の開口部を持つ第2フォトレジストパターンPR2を形成する。次いで、前記第2フォトレジストパターンPR2をエッチングマスクとして、前記絶縁膜330を、上部から所定厚さD1だけエッチングされるようにパターニングする。この時、エッチング時間を調節する方式で、前記エッチングされる厚さD1を調節できる。これにより、前記絶縁膜330内に前記第2幅W2を持つ配線領域350が形成され、前記配線領域350の下部にD2の厚さを持ち、かつ第1幅W1を持つビアホール340が残る。ここで、前記配線領域350の厚さD1とビアホール340の厚さD2とは、全体絶縁膜の厚さ(D1+D2)でそれぞれ半分程度占めるように形成することが望ましい。
次いで、前記第2フォトレジストパターンPR2を除去する。一方、図面には図示されていないが、前記第2フォトレジストパターンPR2を形成するためのフォトレジスト塗布前に、前記ビアホール340内に低誘電率を持つ絶縁膜からなる媒介物質を満たした後、前記第2フォトレジストパターンPR2を形成させることができる。
次いで、図19に示すように、CVD(Chemical Vapor Deposition)法またはスパッタリングなどのPVD法を利用して、前記ビアホールの内面に沿って均一な厚さを持つように第1拡散防止膜361を形成する。ここで、前記第1拡散防止膜361は、Ta膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成できる。
次いで、図20に示すように、スパッタリング方式のエッチングを通じて、前記ビアホール340下部の前記第1拡散防止膜361及びエッチング阻止膜320を除去して、前記導電体パターン311を露出させる。前記スパッタリング方式のエッチングは、イオン化されたアルゴン粒子(Ar)をターゲットに向けて加速させて、ターゲットを構成する原子が他の位置に押し出されてエッチングが行われる現象を利用したものである。
具体的に、プラズマ状態のアルゴン粒子(Ar)を、前記ビアホール340底面の第1拡散防止膜361に向けて加速させれば、前記第1拡散防止膜361及び前記第1拡散防止膜361の下部の第1エッチング阻止膜320を構成する原子が、前記アルゴン粒子(Ar)と衝突して放物線を描きつつ他の位置にリスパッタリングされる。これにより、前記ビアホール340の底面に位置する前記第1拡散防止膜361及び第1エッチング阻止膜320が除去される。ここで、前記ビアホール340の底面に位置した前記第1拡散防止膜361及び前記第1エッチング阻止膜320を構成する原子は、前記ビアホール340の側壁に沿って蒸着されてスパッタリング副産物370を形成する。一方、アルゴン粒子(Ar)を利用した前記スパッタリング方式のエッチング工程進行時に、前記ビアホール340の下部の位置のみだけでなく、ビアホールの内面に沿ってあらゆる位置の第1拡散防止膜361上にアルゴン粒子(Ar)が衝突する。ビアホール側壁は、アルゴン粒子(Ar)との衝突により放物線を描きつつ広がる第1拡散防止膜361及び前記第1エッチング阻止膜320を構成する原子によりリスパッタリングされる。アルゴン粒子(Ar)の衝突エネルギーは、衝突位置によって変わる。結果的に、前記ビアホール340の底面の位置を除外した他の所での前記スパッタリング方式のエッチングがおよぶ影響は、非常に微小である。したがって、前記スパッタリング方式のエッチング時間を適切に調節すれば、加速された速度の比較的速いビアホール340の底面の構成原子が選択的に完全に他の位置に押し出されて除去される。
次いで、図21に示すように、CVD(Chemical Vapor Deposition)法またはスパッタリングなどのPVD(Physical Vapor Deposition)法を利用して、前記ビアホールの内面に沿って均一な厚さを持つように第2拡散防止膜362を形成する。この時、前記第2拡散防止膜362は、前記第1拡散防止膜361及び前記スパッタリング副産物370を覆うように形成する。
ここで、前記第2拡散防止膜362は、Ta膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成できる。
一方、前記第2拡散防止膜362は、後述する導電物質層380との接触力を増大させるように、前記Ta膜で形成することが望ましい。また、前記第2拡散防止膜362の内側に位置する前記第1拡散防止膜361は、前記導電物質層380が配線領域350及びビアホール340領域の外部に広がることを防止するように、拡散防止能力のよい前記TaN膜で形成することが望ましい。
また、前段階の工程であるスパッタリング方式エッチングを通じて、前記導電体パターン311を露出させた後、別途のストリップ工程を経ずに、直ちに前記第2拡散防止膜362を蒸着することにより、導電体パターン311が露出された状態の渋滞期間を最小化できる。
次いで、図22に示すように、ビアホールの内面に沿って形成された前記第2拡散防止膜362上に導電物シード層を形成し、次いで、ECP(Electro−Chemical Plating)を実施して、前記ビアホール340及び前記配線領域350を埋め込むのに十分な厚さを持つ導電物質層380を形成する。
ここで、前記導電物質層380は、多様な導電物質及びそれらの組合わせでなることができ、前記導電物質層380は、銅(Cu)を含むことが望ましい。
次いで、前記導電物質層380は不均一な厚さに満たされるために、図23に示すように、前記絶縁膜330が露出されるようにCMP(Chemical Mechanical Polishing)工程を行って平坦な金属配線を形成する。
一方、本発明の第3実施形態では、前記スパッタリング方式のエッチング以前に第1拡散防止膜361を蒸着し、前記スパッタリング方式のエッチング以後に、追加で第2拡散防止膜362を蒸着する方式を例として説明したが、前記第2拡散防止膜362の蒸着は省略できて、直ちに導電物質層380を形成することもできる。
したがって、本発明の第3実施形態によれば、前述した本発明の第1実施形態と同じ効果を持つ。
次いで、図24ないし図30を参照して、本発明の第4実施形態による半導体素子の金属配線形成方法を説明する。
前述の第1ないし第3実施形態は、デュアルダマシーン工程方法を例として説明したが、本発明の第4実施形態では、シングルダマシーン工程方法を例として説明する。
図24ないし図30は、本発明の第4実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。
本発明の第4実施形態による半導体素子の金属配線形成方法は、図24に示すように、まず、導電体パターン411が埋め込まれている半導体基板410を設け、前記半導体基板410上にエッチング阻止膜420及び絶縁膜430を、前述した第1実施形態で説明した物質及び形成方法を使用して連続的に形成する。
前記導電体パターン411は、下部配線でもあり、下部配線または導電領域と以後に形成される上部配線とを電気的に連結するためのビアホールまたはコンタクトホールでもある。
次いで、図25に示すように、前記絶縁膜430の上部にフォトレジストを塗布し、それをパターニングして前記絶縁膜430の上面を一部露出させるフォトレジストパターンPRを形成する。
次いで、前記フォトレジストパターンPRをエッチングマスクとして、前記絶縁膜430をエッチングする。この時、前記エッチングは、前記エッチング阻止膜420が露出されるまで実施する。これにより、前記エッチング阻止膜420を露出させる開口部440が形成される。次いで、前記フォトレジストパターンPRを除去する。
次いで、図26に示すように、CVD(Chemical Vapor Deposition)法またはスパッタリングなどのPVD法を利用して、前記ビアホールの内面に沿って均一な厚さを持つように第1拡散防止膜461を形成する。ここで、前記第1拡散防止膜461は、Ta膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成できる。
次いで、図27に示すように、スパッタリング方式のエッチングを通じて前記開口部440の下部の前記第1拡散防止膜461及びエッチング阻止膜420を除去して、前記導電体パターン411を露出させる。前記スパッタリング方式のエッチングは、前述した本発明の第1ないし第3実施形態で説明したように、同じ動作により加速された速度の比較的速い開口部440底面の構成物原子が、選択的に完全に他の位置に押し出されて除去される。
次いで、図28に示すように、CVD(Chemical Vapor Deposition)法またはスパッタリングなどのPVD法を利用して、前記ビアホールの内面に沿って均一な厚さを持つように第2拡散防止膜462を形成する。この時、前記第2拡散防止膜462は、前記第1拡散防止膜461及び前記スパッタリング副産物470を覆うように形成する。
ここで、前記第2拡散防止膜462は、Ta膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成できる。
一方、前記第2拡散防止膜462は、後述する導電物質層480との接触力を増大させるように、前記Ta膜で形成することが望ましい。また、前記第2拡散防止膜462の内側に位置する前記第1拡散防止膜461は、前記導電物質層480が開口部440領域の外部に広がることを防止するように、拡散防止能力のよい前記TaN膜で形成することが望ましい。
また、前段階の工程であるスパッタリング方式エッチングを通じて、前記導電体パターン411を露出させた後、別途のストリップ工程を経ずに、直ちに前記第2拡散防止膜462を蒸着することにより、導電体パターン411が露出された状態の渋滞期間を最小化できる。
次いで、図29に示すように、ビアホールの内面に沿って形成された前記第2拡散防止膜462上に導電物シード層を形成した後、ECP(Electro−Chemical Plating)を実施して前記開口部440を埋め込むのに十分な厚さを持つ導電物質層480を形成する。
ここで、前記導電物質層480は、多様な導電物質及びそれらの組合わせでなることができ、前記導電物質層480は、銅(Cu)を含むことが望ましい。
次いで、前記導電物質層480は不均一な厚さに満たされるために、図30に示すように、前記絶縁膜430が露出されるようにCMP(Chemical Mechanical Polishing)工程を行って平坦な金属配線を形成する。
一方、本発明の第4実施形態では、前記スパッタリング方式のエッチング以前に第1拡散防止膜461を蒸着し、前記スパッタリング方式のエッチング以後に、追加で第2拡散防止膜462を蒸着する方式を例として説明したが、前記第2拡散防止膜462の蒸着は省略できて、直ちに導電物質層480を形成することもできる。したがって、本発明の第4実施形態によれば、本発明の第1実施形態と類似した効果を持つ。
以上、添付図を参照して本発明の実施例を説明したが、本発明は前記実施例に限定されず、本発明の技術的要旨を外れない範囲内で当業者により多様に変形実施されることができる。
本発明は、ダマシーン工程による金属配線形成方法に係り、半導体素子の製造方法に好適に用いられうる。
従来技術による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 従来技術による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 従来技術による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 従来技術による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 従来技術による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第1実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第1実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第1実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第1実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第1実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第1実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第1実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第1実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第2実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第2実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第3実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第3実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第3実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第3実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第3実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第3実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第3実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第3実施形態による半導体素子の金属配線形成方法を説明するための断面図である。 本発明の第4実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第4実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第4実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第4実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第4実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第4実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。 本発明の第4実施形態による半導体素子の金属配線形成方法を工程順序によって示す断面図である。
符号の説明
111 導電体パターン
121、122 第1及び第2エッチング阻止膜
132 第2絶縁膜
140 ビアホール
150 配線領域
161 第1拡散防止膜
162 第2拡散防止膜
180 導電物質層

Claims (19)

  1. (a)導電性パターンが埋め込まれている基板上に、エッチング阻止膜及び絶縁膜を順次に形成するステップと、
    (b)前記絶縁膜をパターニングして前記エッチング阻止膜を露出させる開口部を形成するステップと、
    (c)前記開口部の内面に沿って第1拡散防止膜を形成するステップと、
    (d)スパッタリング方式のエッチングを通じて、前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜を除去するステップと、
    (e)前記導電性パターンと電気的に連結される導電物質を、前記開口部に埋め込むステップと、を含む半導体素子の金属配線形成方法。
  2. 前記開口部は、ビアホールまたは配線領域である請求項1に記載の半導体素子の金属配線形成方法。
  3. 前記(d)ステップ以後に、前記開口部の内面に沿って第2拡散防止膜を形成するステップをさらに含む請求項2に記載の半導体素子の金属配線形成方法。
  4. 前記第1及び第2拡散防止膜は、Ta膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成する請求項3に記載の半導体素子の金属配線形成方法。
  5. 前記第1拡散防止膜は、TaN膜で形成し、前記第2拡散防止膜は、Ta膜で形成する請求項4に記載の半導体素子の金属配線形成方法。
  6. 前記第1及び第2拡散防止膜は、スパッタリング方式または化学気相蒸着方式で形成する請求項4または5に記載の半導体素子の金属配線形成方法。
  7. 前記(d)ステップの前記スパッタリング方式のエッチングは、プラズマ状態のアルゴン粒子を前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜に向けて加速させて、前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜を形成する原子を他の位置に押し出して、前記第1拡散防止膜及び前記エッチング阻止膜を除去させるステップである請求項1に記載の半導体素子の金属配線形成方法。
  8. (a)導電性パターンが埋め込まれている基板上に、第1エッチング阻止膜及び第1絶縁膜を順次に形成するステップと、
    (b)前記第1絶縁膜上に第2エッチング阻止膜及び第2絶縁膜を順次に形成するステップと、
    (c)前記第2絶縁膜、前記第2エッチング阻止膜及び前記第1絶縁膜をパターニングして、前記第1エッチング阻止膜を露出させるビアホールを形成するステップと、
    (d)前記第2絶縁膜をパターニングして、前記ビアホールの上部に、前記ビアホールと同じ幅または前記ビアホールより広い幅の配線領域を形成するステップと、
    (e)前記ビアホールの内面に沿って第1拡散防止膜を形成するステップと、
    (f)スパッタリング方式のエッチングを通じて、前記ビアホール底面の前記第1拡散防止膜及び第1エッチング阻止膜を除去するステップと、
    (g)前記導電性パターンと電気的に連結される導電物質を、前記ビアホール及び配線領域に埋め込むステップと、を含む半導体素子の金属配線形成方法。
  9. 前記(f)ステップ以後に、前記ビアホールの内面に沿って第2拡散防止膜を形成するステップをさらに含む請求項8に記載の半導体素子の金属配線形成方法。
  10. 1及び第2拡散防止膜は、Ta膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成する請求項9に記載の半導体素子の金属配線形成方法。
  11. 前記第1拡散防止膜は、TaN膜で形成し、前記第2拡散防止膜は、Ta膜で形成する請求項10に記載の半導体素子の金属配線形成方法。
  12. 前記第1及び第2拡散防止膜は、スパッタリング方式または化学気相蒸着方式で形成する請求項10または11に記載の半導体素子の金属配線形成方法。
  13. 前記(f)ステップの前記スパッタリング方式のエッチングは、プラズマ状態のアルゴン粒子を前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜に向けて加速させて、前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜を形成する原子を他の位置に押し出して、前記第1拡散防止膜及び前記エッチング阻止膜を除去させるステップである請求項8に記載の半導体素子の金属配線形成方法。
  14. (a)導電性パターンが埋め込まれている基板上に、エッチング阻止膜及び絶縁膜を順次に形成するステップと、
    (b)前記絶縁膜をパターニングして、前記エッチング阻止膜を露出させるビアホールを形成するステップと、
    (c)前記ビアホールが形成された前記絶縁膜を、上部から所定厚さだけエッチングされるようにパターニングするが、エッチング時間を調節する方式で前記厚さを調節して、前記ビアホールの上部に、前記ビアホールと同じ幅または前記ビアホールより広い幅の配線領域を形成するステップと、
    (d)前記ビアホールの内面に沿って第1拡散防止膜を形成するステップと、
    (e)スパッタリング方式のエッチングを通じて、前記ビアホール底面の前記第1拡散防止膜及び前記エッチング阻止膜を除去するステップと、
    (f)前記導電性パターンと電気的に連結される導電物質を、前記ビアホール及び配線領域に埋め込むステップと、を含む半導体素子の金属配線形成方法。
  15. 前記(e)ステップ以後に、前記ビアホールの内面に沿って第2拡散防止膜を形成するステップをさらに含む請求項14に記載の半導体素子の金属配線形成方法。
  16. 1及び第2拡散防止膜は、Ta膜、TaN膜、Ti膜、TiN膜、WN膜またはそれらの組合わせ膜で形成する請求項15に記載の半導体素子の金属配線形成方法。
  17. 前記第1拡散防止膜は、TaN膜で形成し、前記第2拡散防止膜は、Ta膜で形成する請求項16に記載の半導体素子の金属配線形成方法。
  18. 前記第1及び第2拡散防止膜は、スパッタリング方式または化学気相蒸着方式で形成する請求項16または17に記載の半導体素子の金属配線形成方法。
  19. 前記(e)ステップの前記スパッタリング方式のエッチングは、プラズマ状態のアルゴン粒子を前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜に向けて加速させて、前記開口部の底面の前記第1拡散防止膜及び前記エッチング阻止膜を形成する原子を他の位置に押し出して、前記第1拡散防止膜及び前記エッチング阻止膜を除去させるステップである請求項14に記載の半導体素子の金属配線形成方法。
JP2005221836A 2004-07-30 2005-07-29 半導体素子の金属配線形成方法 Pending JP2006049900A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040060277A KR100621548B1 (ko) 2004-07-30 2004-07-30 반도체 소자의 금속 배선 형성 방법

Publications (1)

Publication Number Publication Date
JP2006049900A true JP2006049900A (ja) 2006-02-16

Family

ID=35732880

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005221836A Pending JP2006049900A (ja) 2004-07-30 2005-07-29 半導体素子の金属配線形成方法

Country Status (3)

Country Link
US (1) US20060024941A1 (ja)
JP (1) JP2006049900A (ja)
KR (1) KR100621548B1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007311771A (ja) * 2006-04-21 2007-11-29 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2008010532A (ja) * 2006-06-28 2008-01-17 Sony Corp 半導体装置の製造方法
JP2009010382A (ja) * 2007-06-26 2009-01-15 Dongbu Hitek Co Ltd 半導体素子及びその製造方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070259519A1 (en) * 2006-05-02 2007-11-08 International Business Machines Corporation Interconnect metallization process with 100% or greater step coverage
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
DE102010028463B4 (de) * 2010-04-30 2014-04-17 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Halbleiterbauelements mit komplexen leitenden Elementen in einem dielektrischen Materialsystem unter Anwendung einer Barrierenschicht und Halbleiterbauelement diese aufweisend
US8816477B2 (en) * 2011-10-21 2014-08-26 SK Hynix Inc. Semiconductor package having a contamination preventing layer formed in the semiconductor chip
US9837306B2 (en) 2015-12-21 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
CN105931959B (zh) * 2016-06-02 2018-12-18 武汉新芯集成电路制造有限公司 一种绝缘介质层刻蚀方法及金属层导通连接方法
US10141260B1 (en) 2017-05-26 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and method for forming the same
KR102356754B1 (ko) * 2017-08-02 2022-01-27 삼성전자주식회사 반도체 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002521842A (ja) * 1998-07-31 2002-07-16 アプライド マテリアルズ インコーポレイテッド 改善された金属配線のための方法および装置
JP2003124313A (ja) * 2001-10-19 2003-04-25 Nec Corp 半導体装置およびその製造方法
JP2004119698A (ja) * 2002-09-26 2004-04-15 Seiko Epson Corp 半導体装置およびその製造方法
JP2006510195A (ja) * 2002-12-11 2006-03-23 インターナショナル・ビジネス・マシーンズ・コーポレーション キャップ層を有する半導体相互接続構造上に金属層を堆積させる方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
SG70654A1 (en) * 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6372636B1 (en) * 2000-06-05 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
US6531780B1 (en) * 2001-06-27 2003-03-11 Advanced Micro Devices, Inc. Via formation in integrated circuit interconnects
US6613666B2 (en) * 2001-12-07 2003-09-02 Applied Materials Inc. Method of reducing plasma charging damage during dielectric etch process for dual damascene interconnect structures
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
US7253097B2 (en) * 2005-06-30 2007-08-07 Chartered Semiconductor Manufacturing, Ltd. Integrated circuit system using dual damascene process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002521842A (ja) * 1998-07-31 2002-07-16 アプライド マテリアルズ インコーポレイテッド 改善された金属配線のための方法および装置
JP2003124313A (ja) * 2001-10-19 2003-04-25 Nec Corp 半導体装置およびその製造方法
JP2004119698A (ja) * 2002-09-26 2004-04-15 Seiko Epson Corp 半導体装置およびその製造方法
JP2006510195A (ja) * 2002-12-11 2006-03-23 インターナショナル・ビジネス・マシーンズ・コーポレーション キャップ層を有する半導体相互接続構造上に金属層を堆積させる方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007311771A (ja) * 2006-04-21 2007-11-29 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2008010532A (ja) * 2006-06-28 2008-01-17 Sony Corp 半導体装置の製造方法
JP2009010382A (ja) * 2007-06-26 2009-01-15 Dongbu Hitek Co Ltd 半導体素子及びその製造方法

Also Published As

Publication number Publication date
KR20060011432A (ko) 2006-02-03
US20060024941A1 (en) 2006-02-02
KR100621548B1 (ko) 2006-09-14

Similar Documents

Publication Publication Date Title
JP2006049900A (ja) 半導体素子の金属配線形成方法
US9679850B2 (en) Method of fabricating semiconductor structure
KR100386622B1 (ko) 듀얼 다마신 배선 형성방법
JPH07211776A (ja) 半導体基板に導体路を形成する方法
JP2008205458A (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
JP2005268794A (ja) エアギャップを伴うダマシーン構造を有する半導体デバイスの製造方法およびエアギャップを伴うダマシーン構造を有する半導体デバイス
US20060240659A1 (en) Metal interconnection structure of a semiconductor device having low resistance and method of fabricating the same
JP2005268791A (ja) エアギャップを伴うダマシーン構造を有する半導体デバイスの製造方法およびエアギャップを伴うダマシーン構造を有する半導体デバイス
JP4108228B2 (ja) 半導体装置の製造方法
US20040175933A1 (en) Method of forming wiring structure
US10923423B2 (en) Interconnect structure for semiconductor devices
WO2004003980A2 (en) Interconnect structure and method for forming
US6528428B1 (en) Method of forming dual damascene structure
US7300879B2 (en) Methods of fabricating metal wiring in semiconductor devices
JP2004023030A (ja) 半導体装置の製造方法
KR20040093565A (ko) 반도체 소자의 제조방법
KR20050069598A (ko) 반도체 소자의 배선 제조 방법
KR20030002119A (ko) 듀얼 다마신 공정에 의한 비아홀 형성 방법
KR20040057517A (ko) 듀얼 다마신 패턴 형성 방법
KR100917099B1 (ko) 듀얼 다마신 패턴 형성 방법
KR100274346B1 (ko) 반도체소자의금속배선형성방법
KR100857989B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100471404B1 (ko) 화학적 기계적 연마 공정을 이용한 반도체 소자의 금속배선 형성 방법
KR20070064965A (ko) 반도체 소자의 미세 패턴 형성 방법
KR100393968B1 (ko) 반도체 소자의 이중 다마신 형성방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080716

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120605