JP2002521842A - 改善された金属配線のための方法および装置 - Google Patents

改善された金属配線のための方法および装置

Info

Publication number
JP2002521842A
JP2002521842A JP2000562948A JP2000562948A JP2002521842A JP 2002521842 A JP2002521842 A JP 2002521842A JP 2000562948 A JP2000562948 A JP 2000562948A JP 2000562948 A JP2000562948 A JP 2000562948A JP 2002521842 A JP2002521842 A JP 2002521842A
Authority
JP
Japan
Prior art keywords
layer
copper
dielectric layer
barrier layer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000562948A
Other languages
English (en)
Inventor
イムラン ハシム
トニー チャン
バリー チン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002521842A publication Critical patent/JP2002521842A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

(57)【要約】 ビアからビアへの漏れ電流がなく、且つ低抵抗を有する銅配線を形成する方法が開示される。第一の側面では、酸化銅スパッタエッチングに先立って第一の金属層上にバリア層を堆積することにより、銅原子が層間誘電体に到達して、その中にビアからビアへの漏れ電流経路を形成するのを防止する。第二の側面では、スパッタエッチングに先立って、前記第一の金属層を覆うキャッピング誘電体バリア層を堆積する。スパッタエッチングの際に、キャッピング誘電体バリア層は層間誘電体の側壁上に際分布し、スパッタエッチされた銅原子が層間誘電体に到達して、その中にビアからビアへの漏れ経路を形成するのを防止する。第三の側面では、スパッタエッチングに先立って、キャッピング誘電体バリア層およびバリア層の両方を第一の金属層を覆って堆積し、スパッタエッチングの際に生じた銅原子が層間誘電体に到達して、その中にビアからビアへの漏れ経路を形成するのを防止する。

Description

【発明の詳細な説明】
【0001】
【技術分野】
本発明は、半導体装置の金属層配線に関し、特に、配線におけるコンタクト抵
抗の低減に関する。
【0002】
【発明の背景】
典型的な集積回路は、集積回路からなる種々の半導体装置に電力を与え、これ
ら半導体装置が電気的情報を共有/交換することを可能にする複数の金属経路を
含んでいる。半導体回路内においては、金属層を相互に絶縁する中間層または「
層間」誘電体を使用して、金属層が次々にスタックされる。しかし、典型的には
、各金属層は追加の金属層に対する電気的コンタクトを形成しなければならない
。金属層対金属層の電気的コンタクトは、以下で更に説明するように、第一およ
び第二の金属層を分離する層間誘電体に孔(即ち、ビア)をエッチングし、得ら
れた孔またはビアに金属を充填して相互接続を形成することにより達成される。
【0003】 銅の抵抗は低いため、半導体装置のための配線材料として、アルミニウムの代
わりに銅を使用することが普及してきた。しかし、アルミニウムと異なり、銅は
二酸化シリコン中での移動性が高いので、誘電体中への銅の浸透の結果として、
装置の種々の誘電体層を通して漏れ経路が形成される。また、銅原子はシリコン
の中に電気的欠陥を生じる可能性がある。従って、以下で説明する図1A〜1C
を参照すれば良く理解されるように、銅配線を用いる半導体装置では、装置の種
々の材料層への銅原子の有害な取り込みを防止するために、封止層の形成が必要
とされる。
【0004】 図1A〜1Cは、二つの銅層(誘電体層D内の第一の銅層11aおよび第二の銅層
11b)の間に配置された誘電体層中の孔を通しての、従来の銅配線10(図1C)
の形成を示す一連の断面図である。図1Aを参照すると、銅配線10を形成するた
めに、第一の銅層11aを覆って二酸化シリコンの層間誘電体13が堆積される。次
いで、層間誘電体13に第一のビア15がエッチングされ、第一の銅層11aが露出さ
れる。
【0005】 銅は酸素との反応性が高く、酸素に富む雰囲気に露出されると、高抵抗の酸化
銅表面層を形成し易い。第一の層11aは銅であるから、第一の銅層11aが酸素また
は水蒸気(例えば空気)に曝されると、第一の銅層11aの頂面に高抵抗の酸化銅
層11a'が形成される可能性がある。この酸化は、ビアがエッチングされたばかり
のウエハーを、エッチング装置からメタライゼーション装置へと移動するときに
生じ得る。露出された未酸化の銅の全部が酸化銅に変換されると、酸化銅の層11
a'の形成は完了するであろう。従って、銅配線10の抵抗を最小にするためには、
酸化銅の層11a'を除去しなければならない。典型的には、酸化銅層11a'は、アル
ゴンプラズマ内で発生したアルゴンイオンのような、プラズマで発生したイオン
を用いて酸化銅層11a'をスパッタリングすること(即ち、スパッタエッチング)
によって除去される。アルゴンイオンは、ウエハーまたはウエハー支持体に印加
された負の電圧バイアスにより、ウエハーに向けて加速される。これらのイオン
はウエハーおよび充填されていないビアの底にある酸化銅層に衝突し、加速され
たアルゴンイオンと酸化銅層11a'との間での運動量の移動によって、酸化銅層11
a'(酸化銅の直ぐ下の銅を含む)から材料を駆逐する。
【0006】 銅原子11a''を含む駆逐された材料は、図1Aに示すように、層間誘電体13を
被覆する。駆逐された材料に含まれる銅原子11a''は、印加された電界(例えば
装置電圧)の影響下で層間誘電体13に侵入し、その中をドリフトして、配線部か
ら配線部への有害な漏れ電流(ビアからビアへの漏れ電流)を生じる可能性があ
る。しかし、従来の銅接続では、酸化銅が除去するときは、このような有害なビ
ア/ビア漏れ電流を回避することはできない。従って、従来の銅配線は、未充填
のビアの側壁に直接スパッタされた銅により誘起される誘電体破壊を防止するた
めに元の場所に残留する高抵抗の酸化銅層11a'による欠点、またはビア/ビア漏
れ電流を導く銅原子に誘起された誘電体劣化の欠点の何れかを受ける。
【0007】 酸化銅層11a'の除去に続いて、図1Bに示すように、層間誘電体13および第一
の銅層を覆って、薄いバリア層17(例えば、タンタル、窒化タンタル、窒化チタ
ン、タングステンまたは窒化タングステン)が堆積される。このバリア層17は、
その後に堆積される銅層(即ち、図1Cの第二の銅層)からの銅原子が、層間誘
電体13の中に組込まれてこれを劣化するのを防止する。
【0008】 従来の銅配線10を完成するために、図1Cに示すように、バリア層17を覆う
第二の銅層11bが、共形的にまたは銅プラグ11b'の形態で堆積される。銅の「種
」層(図示せず)は、典型的には銅プラグ11b'を堆積する前に堆積される。従っ
て、従来の銅配線10は、バリア層を介して第二の銅層11bと「コンタクト」した
第一の銅層11aからなっている。
【0009】 バリア層17は銅の100倍も大きい抵抗を有し得るから、バリア層17は第一の
銅層11aと第二の銅層11bとの間に形成された配線10のコンタクト抵抗を著しく
増大させる。従って、バリア層の存在のために、銅の低抵抗の顕著な利点は完全
には実現されない。しかし、層間誘電体13の銅原子の更なる組込みを防止するた
めには、バリア層17が必要とされる。
【0010】 要するに、従来の銅配線は、バリア層の存在による高抵抗の欠点を有し、また
配線形成の際にスパッタされた銅原子の層間誘電体13の中への取り込みによる
、ビアからビアへの漏れ電流の欠点を有する可能性がある。従って、高抵抗また
はビアからビアへの漏れ電流の欠点のない改善された配線についての必要性が存
在している。
【0011】
【発明の概要】
本発明は、銅原子によるビアからビアへの漏れ電流経路がなく、好ましくは著
しく減少した抵抗を有する銅配線を提供する。より詳細に言えば、第一の側面で
は、酸化銅の層をスパッタエッチングする前に、露出された第一の銅層および層
間誘電体上に、バリア層(例えばタンタル、窒化タンタル、窒化チタン、タング
ステンまたは窒化タングステン)が堆積される。その後、層間誘電体のビアの底
にあるバリア層、およびその下の酸化銅がスパッタエッチングされる。バリア層
はスパッタエッチングの前に堆積されるから、スパッタエッチングの際、酸化銅
層からの銅原子は、層間誘電体上ではなくバリア層上に再分布される。銅原子は
バリア層内を移動せず、従って、層間誘電体への拡散およびその汚染が防止され
る。従って、銅配線の形成の際に、ビアからビアへの漏れ電流経路は形成されな
い。
【0012】 スパッタエッチングに続いて、バリア層および露出した銅層を覆って第二の銅
層を堆積し、銅配線の形成を完了する。第一および第二の銅層は直接コンタクト
するから、バリア層の高抵抗は排除される。従って、本発明の銅配線は、ビアか
らビアへの漏れ電流経路がないことに加えて、低い抵抗を有する。
【0013】 第二の側面においては、層間誘電体の形成に続いて、第一の銅層を覆うキャッ
ピング誘電体バリア層(例えば窒化シリコン)が堆積される。好ましくは、第一
の銅層上での酸化銅の形成を防止するために、キャッピング誘電体バリア層は、
第一の銅層が酸素(例えば空気)に露出される前に堆積される。その後、キャッ
ピング誘電体バリア層および第一の銅層上に形成された酸化銅がスパッタエッチ
ングされる。最初にキャッピング誘電体バリア層がスパッタエッチされるから、
それは中間誘電体の側壁上に再分布され、スパッタエッチング際に、側壁に再分
布され得る銅原子(下地の酸化銅層から)に対する拡散バリアとして働く。従っ
て、再分布されたキャッピング誘電体バリア層材料は、銅原子が中間誘電体層に
侵入してビアからビアへの漏れ電流経路を形成するのを防止する。
【0014】 銅配線は、露出した第一の銅層を覆うバリア層を堆積し、該バリア層を覆う第
二の銅層を堆積することによって完成する。第一の銅層と第二の銅層との間のバ
リア層の存在は、銅接続の抵抗を増大させる。しかし、従来技術とは異なり、こ
の銅接続部にはビアからビアへの漏れ電流の欠点がない。更に、キャッピング誘
電体バリア層は、それが望ましいときには、エッチング停止層として有利に使用
することができる。
【0015】 本発明の第三の側面もまた、キャッピング誘電体バリア層を用いる。しかし、
第二の側面とは異なり、該バリア層はスパッタエッチングに先立って、キャッピ
ング誘電体バリア層および層間誘電体の上に堆積される。その後、バリア層、キ
ャッピング誘電体バリア層、および第一の銅層上の如何なる酸化銅もエッチング
され、露出された第一の銅層の上に第二の銅層が直接堆積される。
【0016】 バリア層、および層間誘電体の側壁上に再分布したキャッピング誘電体バリア
層からの材料の両者が、スパッタエッチングされた銅原子が層間誘電体に達する
を防止する。従って、ビアからビアへの漏れ電流は防止される。第一および第二
の銅層は直接コンタクトしている(バリア層は除去されている)から、本発明の
銅配線は低い抵抗を有する。第二の側面と同様に、この第三の側面のキャッピン
グ誘電体バリア層はエッチング停止層として作用することができ、好ましくは、
第一の銅層が酸素に露出される前に堆積される。
【0017】 第一および第三の側面については、好ましくは、バリア層の層間誘電体側壁上
への堆積は、酸化銅層のスパッタエッチングと「同時」に行われるか(第一の側
面)、またはキャッピング誘電体バリア層および酸化銅層のスパッタエッチング
と「同時」に行われる(第三の側面)。これらの同時的な堆積/スパッタエッチ
ングは、高密度プラズマ(HDP)スパッタチャンバ内において、所望の堆積/ス
パッタエッチング比を達成するように、該チャンバのRFコイル電力およびRF波バ
イアスを調節することにより行えばよい。或いは、バリア層の堆積、並びに酸化
銅層およびキャッピング誘電体バリア層のスパッタエッチングは、同じチャンバ
内で「連続的に」行ってもよく、または第一のプロセスチャンバ(例えばHDPチ
ャンバ)内でバリア層を堆積し、別のプロセスチャンバ(例えばアプライドマテ
リアルズ社のプレクリーンIIチャンバ)内で、酸化銅およびキャッピング誘電体
バリア層をスパッタエッチングすることにより行ってもよい。何れの場合にも、
第二の銅層の堆積は、第一および第二の銅層の間に酸化銅のない界面を維持する
ように、好ましくは真空を解除する前に行われる。
【0018】 本発明の他の目的、特徴および利点は、特許請求の範囲、以下の好ましい実施
例の詳細な説明および添付図面から、より充分に明かになるであろう。
【好ましい実施例の詳細な説明】
本発明の好ましい側面において、銅配線の形成は、主として高密度プラズマス
パッタリングチャンバー内で行われる(しかし、当該技術で公知のように、配線
ビアは化学的気相成長(CVD)、物理的気相成長(PVD)または電着によって埋め
られてもよい)。従って、銅配線形成のための好ましい側面を述べる前に、図2
を参照して、このような高密度プラズマスパッタリングチャンバの動作を簡単に
説明する。
【0019】 図2は、本発明を実施するための、高密度プラズマスパッタリングチャンバ21
の関連部分を断面で示す側面図である。このスパッタリングチャンバ21は、第一
のRF電源25に動作的に結合したワイヤコイル23を含んでいる。該ワイヤコイル23
は、複数のコイル、図2に示す単回コイル、単回材料ストリップ、または他の同
様の構成からなることができる。図2に示すように、ワイヤコイル23はスパッタ
標的27と基板支持基台29との間で、スパッタリングチャンバ21の内面に沿って配
置される。基板支持基台29は、スパッタリングチャンバ21の下部に配置され、ス
パッタ標的はスパッタリングチャンバ21の上部に、前記支持体の基板受け面と向
き合って配置される。スパッタリングチャンバ21は、一般に、少なくとも一つの
ガス入り口33を有し、また排気ポンプ37に動作的に結合された排気出口35を有す
る、真空チャンバ囲い壁31を含んでいる。
【0020】 スパッタ標的27および基板支持基台29は、囲い壁31から電気的に絶縁されてい
る。好ましくは、囲い壁31は接地され、スパッタ標的27には、標的27と囲い壁31
との間に動作的に結合されたDC電源39を介して負の電圧(設置された囲い壁31に
対して)が印加され、また基板支持基台には基台29と接地との間に動作的に結合
された第二のRF電源41を介して負のバイアスが印加される。コントローラ42は、
第一のRF電源25、DC電源39、第二のRF電源41、ガス入口33および排気出口35に動
作的に結合されている。
【0021】 例えば、スパッタリングチャンバ21が窒化タングステンの堆積のために構成さ
れているときは、タングステン標的27が用いられる。典型的には、アルゴンガス
および窒素ガスの両者が、ガス入口33(各ガスについて一つの複数の入口が用い
られる)を通してスパッタリングチャンバー内に流される一方、電力信号が第一
のRF電源25を介してコイル23に印加され、DC電源39を介して標的27に電力信号が
印加される。定常状態処理の際には、窒素がタンタル標的27と反応して、タンタ
ル標的27上に窒化膜を形成し、そこから窒化タンタルがスパッタされる。加えて
、窒化されないタンタル原子もまた標的からスパッタされ、この原子は飛行中ま
たは基台29に支持されたウエハー(図示せず)上で窒素と化合し、窒化タンタル
を形成する。
【0022】 動作においては、排気出口35に動作的に結合されたスロットル弁(図示せず)
を中央位置に配置し、プロセスガス(類)をチャンバ内に導入する前に、堆積チ
ャンバ21内を約1×10-8 Torrの所望の低真空レベルに維持する。スパッタリング
チャンバ21内での処理を開始するために、ガス入口33を介して、アルゴンガスお
よび窒素ガスの混合物をスパッタリングチャンバ21内に流す。ガスが約10〜100
ミリTorr(好ましくは10〜60ミリTorr、より好ましくは15〜30ミリTorr)の圧力
に安定化した後、DC電源39を介してDC電力をチタン標的27に印加し、第一のRF電
源25を介してコイル23にRF電力を印加する(一方、ガス混合物はガス入口33を通
してスパッタチャンバ21内に流れ続け、ポンプ37を介してポンプ排出される)。
標的27およびコイル23に印加されたDC電力は、アルゴン/チッソガス混合物にプ
ラズマを形成させて、アルゴンおよび窒素イオンを発生させ、これはターゲット
27に引寄せられて衝突し、標的材料(例えばタンタルおよび窒化タンタル)を放
出させる。放出された標的材料は、基台29に支持されたウエハー(図示せず)ま
で移動して堆積する。
【0023】 基板支持基台29に印加されるRF電力信号のデューティーサイクルを調節するこ
とによって、基板支持基台29とプラズマとの間に負のバイアスを形成することが
できる。この基板支持基台29とプラズマとの間の負のバイアスは、アルゴンイオ
ンを基台29およびその上に支持されたウエハーに向けて加速する。従って、当該
技術において周知のように、標的27からの窒化タンタル材料がウエハー上に堆積
すると同時に、ウエハーはアルゴンイオンによってスパッタエッチ(即ち、同時
的な堆積/スパッタエッチ)される。チャンバ21内でのこのタイプの同時的な堆
積/スパッタエッチングは、以下で述べるように、ここに開示する本発明の銅配
線形成方法のために有利に使用することができる。
【0024】 図3A〜図3Cは、本発明の第一の側面に従う第一の銅配線43(図3C)の形
成を示す一連の断面図である。図3Aを参照すると、層間誘電体45(例えば二酸
化シリコン)が第一の金属層(例えば第一の銅層47a)を覆って堆積される。次
いで、層間誘電体45にビア49をエッチングして、第一の銅層47aを露出させる。
【0025】 CVD、PVD、電着または周知の他の金属堆積技術を使用して第一の金属層が堆積
され、該金属層は誘電体層を貫通するビアコンタクトを介して、下地の半導体ウ
エハーに形成された装置に接続される。第一の銅層47aが酸素に露出されるとき
、例えば、第一の銅層の下にある酸化物をエッチングして、第一の銅層と堆積す
べき第二の金属層との間のビアを形成するための孔を作製するエッチングチャン
バーからウエハーを取り出すときに、その上には絶縁性/高抵抗の酸化銅層47a'
が容易に形成される。従って、銅配線43の抵抗を低減するためには、ビア49内
の如何なる酸化銅層47a'および如何なるプロセス残渣も除去しなければならない
。しかし、従来の銅配線形成とは異なり、酸化銅層47a'を除去する前に、(例え
ば、図2のスパッタリング室21内で)層間誘電体45および露出された第一の銅層
47aを覆ってバリア層51が堆積される。好ましくはタンタル、窒化タンタル、窒
化チタン、タングステンまたは窒化タングステンからなるこのバリア層51は、(
先に説明した通り)後に堆積される銅層が層間誘電体45の中に組込まれ、これを
劣化するのを防止する。
【0026】 バリア層51の堆積に続いて、ビア49の底にあるバリア層51の一部、およびその
下にある酸化銅層47a'(および何等かのプロセス残渣)は、アルゴンプラズマに
よってスパッタエッチングされる。なお、スパッタリングチャンバー21内でのス
パッタエッチングの際には、著しい堆積を防止するように、標的27に印加される
電力は除去され、または低レベル(例えば500W)に低減される。低レベルの標的
電力の方が、標的電力が存在しないよりも更に均一なプラズマが生じるので、現
時点では好ましい。
【0027】 アルゴンイオンは、電界(例えば、基台に負の自己バイアスを形成する、図2
の第二のRF電源41を介して基板支持基台29に印加されるRF信号)によりバリア層
51に向けて加速され、バリア層51に衝突し、運動量移動によりビア孔の基部から
バリア層材料をスパッタし、それをビア49の側壁をコートするバリア層51の一部
に沿って際分布させる。その結果、ビア側壁には僅かのスパッタリングしか生じ
ないが、ビア底部には著しいスパッタリングが生じる。バリア層51がビア底部か
らスパッタエッチングされると、アルゴンイオンは酸化銅層47a'に衝突し、酸化
物層をスパッタしてビアベースからの酸化銅材料を再分布させ、そのスパッタさ
れた材料の幾らかまたは全部は、ビア49の側壁を被覆するバリア層51の一部に沿
って堆積される。銅原子47a''もまた、ビア49の側壁に堆積したバリア層51を被
覆する。しかし、最初に堆積したバリア層は、ビアの底からビアの側壁に再分布
したバリア層と共に、銅原子47a''に対する拡散バリアであり、銅原子47a''はバ
リア層51と47a''の間で動かず、層間誘電体45には到達できない。従って、側壁
に堆積した銅原子47a''は、被覆されてない側壁上に再分布したときのようなビ
アからビアへの漏れ電流を発生しない。
【0028】 その後、銅配線43を形成するために、バリア層51および各ビア底に露出された
第一の銅層47aの部分を覆って、第二の銅層47bが堆積される(同形的に、または
図3Cに示すプラグを形成するように)。第一および第二の銅層47aおよび47bは
、従来の銅配線におけるようなバリア層51を介してのコンタクトではなく、直接
コンタクトしているから、(配線のビアからビアへの漏れ電流がないことに加え
て)銅配線43の抵抗は従来の銅配線の抵抗よりも遥かに低い。
【0029】 ビア49の側壁へのバリア層51の堆積、およびビア49の底に
ある該バリア層および酸化銅層47a'のスパッタエッチングは、好ましくは同時に
生じる。同時的な堆積/スパッタエッチングは、先に説明したようにワイヤコイ
ル23、標的27および基台29に印加される電力信号を調節することによって、図2
のチャンバ21を用いて行うことができる。コイル23を用いてプラズマを維持でき
るから、プラズマは、ウエハー上の相対的に低いバイアス(プラズマを維持する
のに必要なバイアスよりも小さい)を用いてウエハーをスパッタできる。スパッ
タリング閾値に達したら、特定のウエハーバイアスについて、標的27に印加され
るDC電力(「DC標的電圧」)に対するワイヤコイル23に印加されるRF電力(「RF
コイル電力」)の比は、スパッタエッチングと堆積との間の関係を指定する。例
えば、RF:DC電力の比が高いほど、増大したイオン化およびその後のウエハーへ
の増大したイオン衝撃フラックスによって、より大きなスパッタリングが生じる
であろう。ウエハーバイアスを増大すること(例えば、支持基台29に供給される
RF電力を増大させること)は、入ってくるイオンのエネルギーを増大させ、これ
はスパッタ量およびエッチング速度を増大させるであろう。例えば、基台29に印
加されるRF信号の電圧レベルを増大させると、ウエハーに入射するイオンのエネ
ルギーが増大するのに対して、基台29に印加されるRF信号のデューティーサイク
ルを増大させると、入射イオンの数が増大する。従って、電圧レベルおよびウエ
ハーバイアスのデューティーサイクルの両方を調節して、スパッタリング速度を
制御することができる。加えて、DC標的電力を低く維持すると、堆積のために利
用可能なバリア材料の量は減少するであろう。ゼロのDC標的電力は、スパッタエ
ッチングのみを生じるであろう。高いRFコイル電力およびウエハーバイアスと結
合された低いDC標的電力は、ビア側壁の堆積およびビア底のスパッタリングを同
時に生じるであろう。従って、問題の材料および形状のためにプロセスを調整し
なければならない。200 mmウエハー上の典型的な3:1アスペクト比のビアについ
ては、250W〜400W以上のウエハーバイアスを連続的に印加しながら(例えば100
%のデューティーサイクル)、バリア材料としてのタンタルまたは窒化タンタル
、500 W〜1 kWのDC標的電力、3 kW以上のRFコイル電力を使用すると、ウエハー
側壁におけるバリアの堆積およびビアの底からの材料の除去を生じるであろう。
DC標的電力が低いほど、側壁に堆積する材料は少なくなるであろう。DC標的電力
が高いほど、ビアの底をスパッタするために、より多くのRFコイル電力および/
またはウエハーバイアス電力が必要とされる。本発明の第一および第三の側面(
以下で説明する)に関する同時的な堆積/スパッタエッチングのためには、現在
のところ、基台上のデューティーレベルが100%では、ワイヤコイル23上の2 kW
のRFコイル電力レベル、および250WのRFウエハー電力レベルが好ましい。
【0030】 初期(例えば、問題にしている特定の形状/材料に応じて数秒以上)には、同
時的な堆積/スパッタエッチングの際に、ウエハーバイアスを印加しないで充分
なビア側壁カバレッジを可能にし、ビアの底からスパッタエッチされた材料によ
る側壁の汚染を防止するのが望ましいかも知れない。例えば、ビア49の同時的な
堆積/スパッタエッチングの際に、初期にウエハーバイアスを印加しないことは
、層間誘電体45の側壁における初期バリア層の形成を保証し、これは、残りの堆
積/スパッタエッチング動作の際に、スパッタされた銅原子が層間誘電体45を汚
染するのを防止する。
【0031】 或いは、堆積/スパッタエッチングは同じチャンバ内で「連続的に」行っても
よく、または第一のプロセスチャンバ内でバリア層を堆積し、別のプロセスチャ
ンバ(例えばアプライドマテリアルズ社のプレクリーンIIチャンバ)内で、バリ
ア層51および酸化銅層47a'をスパッタエッチングすることによって行ってもよい
。何れの場合にも、第二の銅層の堆積は、第一および第二の銅層の間に酸化銅の
ない界面を維持するように、好ましくは真空を解除する前に行われる。
【0032】 図4A〜図4Dは、本発明の第二の側面に従う第二の銅配線53(図4D)の形
成を示す一連の断面図である。図4Aを参照すると、層間誘電体59を堆積および
エッチングしてビア59'を形成する前に、第一の金属層(例えば第一の銅相違57a
)を覆うキャッピング融点体バリア層(例えば二酸化シリコン層55)が堆積され
る。窒化シリコン層55の厚さは、充分なバリア特性を与えると共に、ケモメカニ
カル研磨プロセスに適合するように選択される(例えば、50〜1000オングストロ
ーム、より好ましくは150から500オングストローム、最も好ましくは250〜300オ
ングストローム)。窒化シリコン層55を堆積する前に第一の銅層57aが酸素に露
出される場合は、図4Aに示すように、第一の銅層57a上に酸化銅層57a'が形成
される(例えば、誘電体層D(図4A)の誘電体堆積の際、誘電体層Dのエッチン
グの際、第一の銅層57aを形成するための銅の体積および/またはエッチバック
の際、または誘電体層Dの化学的金属研磨の際)。従って、酸化銅層57a'の形成
を回避するように、第一の銅層57aを酸素に露出(例えば、ウエハー処理チャン
バ間での真空環境下での輸送を与える自動化された真空ウエハー処理システム内
)する前に、好ましくは窒化シリコン層55が第一の銅層57aを覆って堆積される
。化学的金属研磨に続いて、第一の銅層57a上に薄い自然酸化銅層が形成される
。しかし、その直ぐ後の窒化シリコン層55の堆積は、更なる酸化を防止する。
【0033】 窒化シリコン層55を覆う層間誘電体59を堆積し、該層間誘電体59にビア59'を
エッチングして、窒化シリコン層55を露出させる。しかし、窒化シリコン層55が
堆積される前に第一の銅層57aが酸素に露出される場合であっても、先に説明し
たように、、本発明の方法は酸化銅の有害な影響を回避するであろう。また、窒
化シリコン層55は、層間誘電体59の形成およびパターンニングの際に、第一銅層
57aから層間誘電体59の中への銅原子の拡散を防止するように働く。
【0034】 図4Bを参照すると、銅配線53の抵抗を低減するために、窒化シリコン層5
5(例えば高抵抗層)、酸化銅層57a'および如何なるプロセス残渣(例えばビア
59'の形成の際に残されたもの)も、アルゴンプラズマによってスパッタエッチ
される(先に説明した通り)。窒化シリコン層55がスパッタエッチングされる
とき、窒化シリコン層材料はビア59'の側壁に沿って再分布し、その上に再分布
した窒化物層55'を形成する。その後、酸化銅層57a'がスパッタエッチングされ
るとき、銅原子57a''を含む酸化銅層材料は、ビア59'の側壁に沿って、再分布し
た窒化物層55'の頂部に堆積する。
【0035】 銅原子は窒化シリコン内に拡散しないので、銅原子57a''は、再分布した窒化
物層55'内で動かない。従って、銅原子57a''は層間誘電体59へと拡散することが
できず、従ってビアからビアへの漏れ電流を形成することができない。 層間誘電体59および第一の銅層57a(窒化シリコン層55および酸化銅層57a'の
スパッタエッチングにより露出されたもの)を覆うバリア層61を堆積し、また第
二の銅層57aを堆積する(同型的にまたは銅プラグ57b'を形成するように)こと
によって、銅配線53が完成する(図4D)。
【0036】 第一および第二の銅層57a,57bは直接コンタクトしておらず、バリア層61を介
してコンタクトしているから、図4Bの銅配線53は図3Cの銅配線43よりも高い
抵抗を有している。しかし、図3Cの銅配線43とは異なり、図4Dの銅配線53は
、従来の銅配線に存在するビアからビアへの漏れ電流の欠点をもたない。加えて
、例えばビア59'の形成の際に層間誘電体59を選択的に除去する場合、それが望
ましいときは、窒化シリコン層55をエッチング停止層として使用してもよい。
【0037】 図5A〜図5Cは、本発明の第三の側面に従った第三の銅配線63(図5C)の
形成を示す一連の断面図である。図5Aを参照すると、図4Dの第二の銅配線53
と同様に、第一の金属層(例えば第一の銅層67a)を覆うキャッピングバリア層
(例えば、窒化シリコン層65)が堆積される。窒化シリコン層65を堆積する前に
第一の銅層67aが酸素に露出されると、図5Aに示すように、第一銅層67aの上に
酸化銅層67a'が形成されるであろう。従って、好ましくは、第一の銅層67aを酸
素に露出する前に(例えばウエハーを真空環境から取り出さずに)、第一の銅層
67aを覆う窒化シリコン層65を堆積し、酸化銅層67'の形成を回避する。窒化シリ
コン層65を覆う層間誘電体69を堆積し、該層間誘電体69にビアを形成して、窒化
シリコン層65を露出させる。
【0038】 層間誘電体層の堆積およびその中のビア69'の形成に続き、層間誘電体69およ
び露出された窒化シリコン層65を覆うバリア層(例えばタンタル、窒化タンタル
、窒化チタン、タングステンまたは窒化タングステン)を堆積して、その後に堆
積される銅層が層間誘電体69の中に取り込まれてこれを劣化させるのを防止する
。バリア層71、窒化シリコン層65、および形成され得る如何なる酸化銅層67a'も
、先に説明したようにして、アルゴンプラズマによりスパッタエッチングされる
(図5B)。バリア層71、窒化シリコン層65、および如何なる酸化銅層67a'をも
スパッタエッチするときに、各層からの材料はビア69'の側壁に沿って再分布さ
れる。酸化銅層67a'からスパッタエッチされる銅原子67a''は、バリア層71上お
よびビア69'の側壁を被覆する再分配された窒化シリコン材料の上に堆積する。
バリア層71および窒化シリコン層65の両者は銅原子に対する拡散バリアであるか
ら、銅原子67a''は層間誘電体69に達することができず、従ってビアからビアへ
の漏れ電流を発生しない。
【0039】 バリア層71および露出した第一の銅層67aを覆う第二の銅層67bを堆積する(同
形的または銅プラグ67b'を形成するように)ことによって、銅配線63が完成する
。第一および第二の金属層67a,67bは直接コンタクトするから、銅配線63は、図
1Cの従来の銅配線および図4Dの本発明の銅配線53よりも遥かに低い抵抗を有
する。加えて、ビア69'を形成する際のように層間誘電体69を選択的に除去する
とき、それが望ましければ、窒化シリコン層65をエッチングストッパとして使用
してもよい。
【0040】 図3Cの銅配線43のバリア層51の場合のように、ビア69'の側壁への(図5C
の銅配線63の)バリア層71の堆積、並びにバリア層71、窒化シリコン層65、およ
びビア69'の底にある幾らかの酸化銅のスパッタエッチングは、(例えば、高密
度プラズマスパッタリングチャンバ内で)好ましくは同時に起る。
【0041】 本発明の夫々の銅配線43,53および63は、各配線における種々の層の間に酸化
銅のない界面を維持するように、好ましくは、第一の銅層の露出と第二の銅層の
堆積との間で真空を解除することなく形成される。酸化銅の形成を回避するため
に、第一の銅層の露出および第二の銅層の体積は、好ましくは、アプライドマテ
リアルズ社のEndura(登録商標)のような自動化された真空処理システムの種々
の処理チャンバー内で行われ、これは、本明細書の一部として援用する米国特許
第5,186,718号に開示されているような真空環境内でのウエハー移動を与える。
【0042】 図6は、本発明の方法を実施するために有用な、自動化された半導体製造装置
81の平面図である。即ち、この自動化された半導体製造装置81は、一対のチャン
バ、即ち、第一および第二のウエハーハンドラー87,89をそれぞれ収容したバッ
ファーチャンバ83および移送チャンバ85を具備している。バッファーチャンバは
、一対のロードロック91,93および一対の通過チャンバ95,97に動作的に結合さ
れている。また、脱ガスまたは冷却チャンバのような他のチャンバが、バッファ
ーチャンバ83に結合されていてもよい。
【0043】 移送チャンバ85は、通過チャンバ95,97に結合され、また複数の処理チャンバ
99,101,103および105に結合されている。第一の処理チャンバ99は,バリア層
を堆積するためのものであり、その中に、例えばタンタルまたは他のバリア層材
料のスパッタリング標的が搭載されたPVDまたはHDPスパッタリングチャンバであ
る。好ましくは、第一の処理チャンバ99は、図2の高密度プラズマスパッタリン
グチャンバ21からなっている。第二の処理チャンバ101は、アプライドマテリア
ルズ社のプレクリーンIIのようなエッチングチャンバからなっている。第三の処
理チャンバ103は、銅種層を堆積するためのPVD、HDPまたはCVDチャンバからなっ
ている。第四の処理チャンバ105は、CVDまたはPVDチャンバのような、銅充填チ
ャンバからなっている。望ましいときには、充填プロセスは、(第四の処理チャ
ンバ105内ではなく)装置81の外での電着によって行うことができる。
【0044】 マイクロプロセッサ109およびメモリー111からなるコントローラ107は、第一
および第二のウエハーハンドラー87,89、ロードロック91,93、四つの種々の処
理チャンバ99〜105、並びにロードロック、通過チャンバおよび処理チャンバを
選択的にシールするための種々のスリット弁(図示せず)に動作可能に結合され
る。メモリー111は、本発明の配線43,53および63についての上記本発明の配線
形成方法の夫々を実施するプログラムを含んでいる。図7の実施例では、各配線
43,53および63、並びにビア49,59'および69'は、装置81に導入される前に、当
該技術で周知の方法により既に形成されていると仮定している。
【0045】 図7は、配線43,53および63の形成に際して、コントローラ107に制御される
自動化された半導体製造装置81の関連部分における動作のフローチャートである
【0046】 ステップ701では、コントローラ107のメモリー111における配線プログラム700
が実行される。 ステップ702では、ウエハー113が装置81におけるロードロック91の中にロード
され、ロードロック91は所望の圧力(例えば、バッファーチャンバ83内の圧力)
にまでポンピングされる。 ステップ703では、第一のウエハーハンドラー87が、ウエハー113を第一のロー
ドロック91から通過チャンバ95に移送する。 ステップ704では、コントローラ107は、装置81のユーザによる先の選択に基づ
いて、配線43、配線53または配線63を形成するための処理シーケンスを選択する
。その後、コントローラ107は、配線43のサブルーチン(図8を参照して説明し
たステップ705)、配線53のサブルーチン(図9を参照して説明したステップ706
)、または配線63のサブルーチン(図10を参照して説明したステップ707)を
実行する。以下で説明するように、これらサブルーチンの何れかに続いて、ウエ
ハー113は第三の処理チャンバ103内に収容され、そこでは銅種層がウエハー113
に堆積される。 こうして、配線サブルーチンの完了の後、ステップ708に示すように、第二の
ウエハーハンドラー89によって、ウエハー113は第三の処理チャンバ103から第四
の処理チャンバ05へ移送される。
【0047】 ステップ709では、ウエハー113に対して銅充填プロセスを行い、ビア49,59'
または69'を銅で埋めて、銅配線(それぞれ配線43,53または63)の形成を完了
する。この充填プロセスは、当該技術で公知の従来のCVDまたはPVD充填プロセス
であってもよく、或いは、この充填プロセスは、その後に装置81の真空環境の外
で電着により行われてもよい。好ましくは、この銅充填プロセスは、1996年12月
16日に出願された「IC構造における選択的な物理的気相成長による導電体充填」
と題する米国特許出願第08/768,058号に開示された充填プロセスからなり、該特
許出願を本明細書の一部として援用する。 ステップ710では、第二のウエハーハンドラー89が、ウエハー113を第四の処理
チャンバ105から第二の通過チャンバ97へと移送する。 ステップ711では、第一のウエハーハンドラー87が、ウエハー113を第二の通過
チャンバ97から第二のロードロック93へと輸送する。その後、ウエハー113は、
後続の装置加工のために第二のロードロック93から取り出せばよい。 ステップ712において、コントローラ107は、ウエハー113上の配線43,53また
は63を形成するための配線プログラム700の実行を停止する。装置81は本発明の
配線形成のために好ましいが、他の装置(例えば、単一ウエハーハンドラーのチ
ャンバ)を用いてもよいことが理解されるであろう。
【0048】 図8は、図7の配線43サブルーチン705のフローチャートである。ステップ800
において、配線43サブルーチンが開始される。 ステップ801では、第二のウエハーハンドラー89が、第一の通過チャンバ95か
ら第一の処理チャンバ99へとウエハー113を移送する。 ステップ802では、コントローラ107は、装置81のユーザによる先の選択に基づ
いて、別々の処理チャンバ(例えば第一および第二の処理チャンバ99,101)内
での一連の堆積/スパッタエッチングの処理シーケンス(ステップ803〜806)、
または一つの処理チャンバ(たとえば第一の処理チャンバ99)内での同時的な堆
積/スパッタエッチングの処理プロセス(ステップ807および808)を選択する。
また、図2、図3A〜3C、図4A〜4D、および図5A〜5Cを参照して先に
説明したように、配線プログラム700は、一つの処理チャンバ(たとえば第一の
処理チャンバ99)内での逐次的な堆積/スパッタエッチングのための処理シーケ
ンスであってもよいことが理解されるであろう。
【0049】 別々の処理チャンバ内における逐次的な堆積/スパッタエッチングのために、
ステップ803では、層間誘電体45、ビア49の側壁および露出された第一の銅層47a
を覆って、バリア層51が堆積される(図3A)。これは、PVD、HDP堆積またはCV
Dによって行えばよい。 ステップ804では、第二のウエハーハンドラー89が、第一の処理チャンバ99か
ら第二の処理チャンバ101へとウエハー113を移送する。 ステップ805では、バリア層51および如何なる酸化銅層47a'をもスパッタエッ
チングして、第一の銅層47aが露出させる(図3B)。好ましくは、このエッチ
ングはアプライドマテリアルズ社のプレクリーンIIチャンバ内で行われる。 ステップ806では、第二のウエハーハンドラー89が、第二の処理チャンバ101か
ら第三の処理チャンバ103へとウエハー113を移送する。
【0050】 同時的な堆積/スパッタエッチングのために、ステップ807では、層間誘電体4
5およびビア49の側壁にバリア層51が堆積される一方、ビア49の底および酸化銅
層47a'が同時にスパッタエッチされて、第一の銅層47aが露出される。好ましく
は、同時的な堆積/スパッタエッチングは、アプライドマテリアルズ社のVectra
IMPチャンバのようなHDPチャンバ内で行われる。図2、図3A〜3Cおよび図
5A〜5Cを参照して説明したように、同時的な堆積/スパッタエッチングは、
標的27に印加されるDC電力に対する、基板支持基台29およびワイヤコイル2
3に印加されるRF電力の比を調節することによって達成される。 ステップ808では、第二のウエハーハンドラー89が、銅種層の堆積のために、
第一の処理チャンバ99から第三の処理チャンバ103へとウエハー113を移送する
。第一の銅層47aはステップ807で露出されるので、第二の処理チャンバ101内で
のエッチングは必要とされない。従って、同時的な堆積/スパッタエッチングを
使用するときは、第二の処理チャンバ101は必要とされない。
【0051】 逐次的または同時的な堆積/スパッタエッチングに続いて、ステップ809では
、バリア層51および露出された第一の銅層47aの上に銅種層が堆積される。ウエ
ハー113は装置81内で真空環境に維持されるから、銅種層の形成の前に、露出さ
れた第一の銅層47a上で酸化銅は本質的に再形成されない。それによって、銅種
層と第一の銅層47aの間には、本質的に酸化物ない低抵抗のコンタクトが形成さ
れる。種層はPVD、HDP堆積またはCVD技術を使用して堆積すればよい。 ステップ810においてサブルーチン705が終了し、プログラムはステップ708〜7
12に戻り、そこではビア49が銅で埋められて配線43が完成し、ウエハー113は第
二のロードロック93の中に配置される。
【0052】 図9は、図7における配線53サブルーチンのフローチャートである。ステップ
900において、配線53サブルーチンが開始する。 ステップ901では、第二のウエハーハンドラー89が、第一の通過チャンバ95か
ら第二の処理チャンバ101へとウエハー113を移送する。 ステップ902では、第二の処理チャンバ101内で窒化シリコン層55および如何な
る酸化銅層57a'もがスパッタエッチされて、第一の銅層57aが露出される(図4
B)。 ステップ903では、第二のウエハーハンドラー89が、第二の処理チャンバ101か
ら第一の処理チャンバ99へとウエハー113を移送する。ステップ901〜903の代替
法は、ウエハー113を第一の通過チャンバ95から第一の処理チャンバ99へと移送
し、第一の処理チャンバ99内において、窒化シリコン層55および如何なる酸化銅
層57a'をもスパッタエッチすることである(第一の処理チャンバ99はHDPスパッ
タリングチャンバであると仮定する)。この方法では、第二の処理チャンバ101
は必要とされない。 ステップ904では、層間誘電体59を覆うバリア層61が堆積され、また第一の銅
層57aが露出される(図4C)。 ステップ905では、第二のウエハーハンドラー89が、第一の処理チャンバ99か
ら第三の処理チャンバ103へとウエハー113を移送する。 ステップ906では、銅種層がバリア層61の上に堆積される。 ステップ907においてサブルーチン706が終了し、プログラムはステップ708〜7
12に戻り、そこではビア59'が銅で埋られて配線53が完成され、ウエハー113は第
二のロードロック93の中に配置される。
【0053】 図10は、図7における配線63サブルーチン707のフローチャートである。ス
テップ1000において、サブルーチン707が開始される。 ステップ1001では、第二のウエハーハンドラー89が、第一の通過チャンバ95か
ら第一の処理チャンバ99へとウエハー113を移送する。 ステップ1002において、コントローラ107は、装置81のユーザによる先の選択
に基づいて、別々の処理チャンバ(例えば第一および第二の処理チャンバ99,10
1)内での逐次的な堆積/スパッタエッチング(ステップ1003〜1006)、または
単一チャンバ(例えば第一の処理チャンバ99)内における同時的な堆積/スパッ
タエッチング(ステップ1007および1008)のための処理シーケンスを選択する。
また、図2、図3A〜3C、図4A〜4D、および図5A〜5Cを参照して先に
説明したように、配線プログラム700は、一つの処理チャンバ(たとえば第一の
処理チャンバ99)内における逐次的な堆積/スパッタエッチングのための処理シ
ーケンスであってもよいことが理解されるであろう。
【0054】 別々の処理チャンバ内での逐次的な堆積/スパッタエッチングが選択されたと
きは、ステップ1003では、層間誘電体69、および露出された窒化シリコン層65を
覆って、バリア層71が堆積される(図5A)。これは、PVD、HDP堆積またはCVD
によって行えばよい。 ステップ1004では、第二のウエハーハンドラー89が、第一の処理チャンバ99か
ら第二の処理チャンバ101へとウエハー113を移送する。 ステップ1005では、バリア層71、窒化シリコン層65および如何なる酸化銅層67
a'をもスパッタエッチングして、第一の銅層67aが露出させる(図5B)。好ま
しくは、このエッチングは、アプライドマテリアルズ社のプレクリーンIIチャン
バ内で行われる。 ステップ1006では、第二のウエハーハンドラー89が、第二の処理チャンバ101
から第三の処理チャンバ103へとウエハー113を移送する。 同時的な堆積/スパッタエッチングが選択されたときは、ステップ1007におい
て、層間誘電体69およびビア69'の側壁にバリア層71が堆積される一方、ビア49
の底、窒化シリコン層65および酸化銅層67a'が同時にスパッタエッチされて、第
一の銅層67aが露出される。好ましくは、同時的な堆積/スパッタエッチングは
、アプライドマテリアルズ社のVectra IMPチャンバのようなHDPチャンバ内で行
われる。図2、図3A〜3Cおよび図5A〜5Cを参照して説明したように、同
時的な堆積/スパッタエッチングは、標的27に印加されるDC電力に対する、基
板支持基台29およびワイヤコイル23に印加されるRF電力の比を調節することに
よって達成される。 ステップ1008では、第二のウエハーハンドラー89が、銅種層の堆積のために、
第一の処理チャンバ99から第三の処理チャンバ103へとウエハー113を移送する
。第一の銅層67aはステップ1007で露出されるので、第二の処理チャンバ101内で
のエッチングは必要とされない。従って、同時的な堆積/スパッタエッチングを
使用するときは、第二の処理チャンバ101は必要とされない。
【0055】 その後、逐次的または同時的な堆積/スパッタエッチングに続いて、ステップ
1009では、バリア層71および露出された第一の銅層67aの上に銅種層が堆積され
る。チャンバ99〜105の間での移送の間、ウエハー113は真空環境に維持されるか
ら、銅種層を形成する前に、露出された第一の銅層67a上に酸化銅は本質的に再
形成されない。それによって、銅種層と第一の銅層67aの間には、本質的に酸化
物ない低抵抗のコンタクトが形成される。種層はPVD、HDP堆積またはCVD技術を
使用して堆積すればよい。 ステップ1010でサブルーチン707が終了し、プログラムはステップ708〜712に
戻り、そこではビア69'が銅で埋められて配線63が完成し、ウエハー113は第二の
ロードロック93の中に配置される。
【0056】 図7〜図10の配線プログラム700は、単なる例示に過ぎない。別法として、
プログラムは単一のサブルーチン705,706,707のみを含んでいてもよく、およ
び/または各サブルーチンは逐次的な堆積およびエッチング工程(単一または複
数の処理チャンバ内で)、または同時的な堆積およびエッチング工程のみを含ん
でいてもよい。更に、装置81内にウエハー113を配置する前に多くの工程を行っ
てもよく、従って、配線43および63に関しては、配線プログラムは、露出した第
一の銅層の上に第二の銅層を堆積することにより、第一および第二の銅層の間に
直接的な金属/金属のコンタクトを形成する単純なものであってもよい。
【0057】 当該技術において公知のように、コントローラ107は複数のインターフェース
コントローラからなっていてもよく、この複数のコントローラの夫々は、それに
対してインターフェースされた他のコントローラ、処理チャンバ等との間で制御
信号を受信/送信するための入力/出力ポートを有している。このような制御信
号は、当該技術において公知のように、所望の処理工程(たとえば堆積、エッチ
ング等)を達成するように、温度、圧力流速、ウエハー、コイルおよび標的バイ
アス等のような種々のチャンバ条件を制御する。
【0058】 上記の説明は本発明の好ましい実施例のみを開示するものであり、上記に開示
した装置および方法の本発明の範囲内にある変形は、当業者には容易に明らかで
あろう。例えば、銅配線に関して本発明を開示したが、移動性原子に誘起された
ビアからビアへの漏れ電流および/または電気的欠陥の有害な影響を受ける他の
金属配線は、ここに開示された教示からの利益を受けることができる。広範な種
類のバリア層材料を使用してもよく、また、同時的および/または逐次的な堆積
/スパッタエッチングのためには高密度プラズマチャンバを使用するのが好まし
いが、他の堆積チャンバを使用してもよい。
【0059】 従って、好ましい実施例に関して本発明を開示してきたが、他の実施例も、特
許請求の範囲に定義した本発明の精神および範囲内にあることが理解されるべき
である。
【図面の簡単な説明】
【図1A】 図1Aは、先に説明した従来の銅配線の形成を示す一連の断面図の一つである
【図1B】 図1Bは、先に説明した従来の銅配線の形成を示す一連の断面図の一つである
【図1C】 図1Cは、先に説明した従来の銅配線の形成を示す一連の断面図の一つである
【図2】 図2は、高密度プラズマの関連部分を断面で示す図である。
【図3A】 図3Aは、本発明の第一の側面に従う銅配線の形成を示す一連の断面図の一つ
である。
【図3B】 図3Bは、本発明の第一の側面に従う銅配線の形成を示す一連の断面図の一つ
である。
【図3C】 図3Cは、本発明の第一の側面に従う銅配線の形成を示す一連の断面図の一つ
である。
【図4A】 図4Aは、本発明の第二の側面に従う銅配線の形成を示す一連の断面図の一つ
である。
【図4B】 図4Bは、本発明の第二の側面に従う銅配線の形成を示す一連の断面図の一つ
である。
【図4C】 図4Cは、本発明の第二の側面に従う銅配線の形成を示す一連の断面図の一つ
である。
【図5A】 図5Aは、本発明の第三の側面に従う銅配線の形成を示す一連の断面図の一つ
である。
【図5B】 図5Bは、本発明の第三の側面に従う銅配線の形成を示す一連の断面図の一つ
である。
【図5C】 図5Cは、本発明の第三の側面に従う銅配線の形成を示す一連の断面図の一つ
である。
【図6】 図6は、本発明の方法を実施するために有用な自動化された半導体製造装置の
平面図である。
【図7】 図7は、図3A〜5Cの本発明の配線形成の際にコントローラにより制御され
る、図6の自動化された半導体製造装置の関連動作を示すフローチャートである
【図8】 図8は、図7のフローチャートにおける第一の配線サブルーチンフローチャー
トである。
【図9】 図9は、図7のフローチャートにおける第二の配線サブルーチンフローチャー
トである。
【図10】 図10は、図7のフローチャートにおける第三の配線サブルーチンフローチャ
ートである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 チャン トニー アメリカ合衆国 カリフォルニア州 94043 マウンテン ビュー ホワイスマ ン ロード 100エヌ アパートメント #17 (72)発明者 チン バリー アメリカ合衆国 カリフォルニア州 95070 サラトガ カンバーランド ドラ イブ 13174 Fターム(参考) 4M104 BB17 BB18 BB30 BB32 BB33 CC01 DD37 DD39 FF17 FF18 FF22 HH05 5F033 HH11 HH19 HH21 HH32 HH33 HH34 JJ01 JJ11 JJ19 JJ21 JJ32 JJ33 JJ34 KK11 NN05 NN06 NN07 NN17 PP06 PP14 PP26 QQ09 QQ10 QQ14 QQ31 QQ37 QQ48 QQ92 QQ94 QQ98 RR04 RR06 XX09

Claims (62)

    【特許請求の範囲】
  1. 【請求項1】 第一の金属層と第二の金属層の間にコンタクトを形成する方
    法において: 第一の金属層を設けることと; 該第一の金属層の上に配置された第一の誘電体層であって、その中では前記第
    一の金属層の原子が高度に移動性であり、且つ前記第一の金属層を露出するため
    にその中にビアが形成された第一の誘電体層を設けることと; 前記第一の誘電体層の上にバリア層を堆積することと; 前記第一の金属層の少なくとも一部を露出させることと; 前記露出された第一の金属層の上に第二の金属層を堆積することにより、前記
    第一の金属層と第二の金属層との間にコンタクトを形成することとを具備した方
    法。
  2. 【請求項2】 請求項1に記載の方法であって: 前記第一の誘電体層の上にバリア層を形成することは、前記第一の誘電体層お
    よび前記露出された第一の金属層の上に前記バリア層を堆積することを含み; 前記第一の金属層の少なくとも一部を露出させることは、前記第一の金属層の
    上に堆積された前記バリア層の少なくとも一部を除去して、前記第一の金属層を
    露出させることを含む方法。
  3. 【請求項3】 請求項2に記載の方法であって、前記第一の金属層の上に堆
    積された前記バリア層の少なくとも一部を除去することは、前記バリア層をスパ
    ッタリングすることを含む方法。
  4. 【請求項4】 請求項1に記載の方法であって、前記バリア層を堆積するこ
    と、および前記第一の金属層の少なくとも一部を露出させることが同時に行われ
    る方法。
  5. 【請求項5】 請求項2に記載の方法であって、前記第一の金属層は銅を含
    む方法。
  6. 【請求項6】 請求項5に記載の方法であって、更に、前記第二の金属層を
    堆積する前に、前記第一の金属層上に形成された如何なる酸化銅層をも除去する
    ことを含む方法。
  7. 【請求項7】 請求項5に記載の方法であって、前記第一の誘電体層は二酸
    化シリコンからなる方法。
  8. 【請求項8】 請求項5に記載の方法であって、前記バリア層を堆積するこ
    とは、タンタル、窒化タンタル、窒化チタン、タングステンおよび窒化タングス
    テンからなる群から選択される材料を堆積することを含む方法。
  9. 【請求項9】 請求項6に記載の方法であって: 前記第一の金属層の上に堆積された前記バリア層の少なくとも一部を除去する
    ことは、前記バリア層をスパッタリングすることを含み; 前記如何なる酸化銅層をも除去することは、前記酸化銅層をスパッタリングす
    ることを含む方法。
  10. 【請求項10】 請求項9に記載の方法であって:前記バリア層を堆積する
    こと、該バリア層をスパッタリングすること、前記如何なる酸化銅をもスパッタ
    リングすることは、同じチャンバ内において、前記バリア層を堆積し、該バリア
    層をスパッタリングし、前記如何なる酸化銅層をもスパッタリングすることを含
    む方法。
  11. 【請求項11】 請求項10に記載の方法であって:前記バリア層を堆積す
    ること、該バリア層をスパッタリングすること、前記如何なる酸化銅をもスパッ
    タリングすることは、高密度プラズマチャンバ内において、前記バリア層を堆積
    し、該バリア層をスパッタリングし、前記如何なる酸化銅層をもスパッタリング
    することを含む方法。
  12. 【請求項12】 請求項11に記載の方法であって、更に、前記バリア層の
    堆積、前記バリア層のスパッタリングおよび前記如何なる酸化銅層のスパッタリ
    ングが同時に生じるように、前記高密度プラズマチャンバの動作パラメータを調
    節することを含む方法。
  13. 【請求項13】 請求項1に記載の方法であって、更に、前記第二の金属層
    の堆積に続いて、前記ビアを導電性材料で埋めることを含む方法。
  14. 【請求項14】 請求項1に記載の方法により形成された半導体装置。
  15. 【請求項15】 第一の金属層と第二の金属層の間にコンタクトを形成する
    方法において: 第一の金属層を設けることと; 前記第一の金属層の上に第一の誘電体層を堆積することと; 前記第一の誘電体層の上に、前記第一の金属層の原子がその中で高度に移動性
    である第二の誘電体層を堆積することと; 前記第二の誘電体層にビアを形成して、前記第一の誘電体層を露出させること
    と; 前記第二の誘電体層の上にバリア層を堆積することと; 前記第一の誘電体層の少なくとも一部を露出させることと; 前記第一の金属層上に堆積された前記第一の誘電体層の少なくとも一部を除去
    して、前記第一の金属層を露出させることと; 前記露出された第一の金属層の上に第二の金属層を堆積することにより、前記
    第一の金属層と第二の金属層との間にコンタクトを形成することとを具備した方
    法。
  16. 【請求項16】 請求項15に記載の方法であって: 前記第二の誘電体層の上にバリア層を形成することは、前記第二の誘電体層お
    よび前記露出された第一の金属層の上に前記バリア層を堆積することを含み; 前記第一の誘電体層の少なくとも一部を露出させることは、前記第一の誘電体
    層の上に堆積された前記バリア層の少なくとも一部を除去して、前記第一の誘電
    体層を露出させることを含む方法。
  17. 【請求項17】 請求項16に記載の方法であって、前記第一の誘電体層の
    上に堆積された前記バリア層の少なくとも一部を除去することは、前記バリア層
    をスパッタリングすることを含む方法。
  18. 【請求項18】 請求項16に記載の方法であって、前記バリア層を堆積す
    ること、および前記第一の誘電体層の少なくとも一部を露出させることが同時に
    行われる方法。
  19. 【請求項19】 請求項16に記載の方法であって、前記第一の金属層は銅
    を含む方法。
  20. 【請求項20】 請求項19に記載の方法であって、更に、前記第一の金属
    層を大気中に露出する前に前記第一の金属層の上に前記第一の誘電体層を堆積し
    て、酸化銅の形成を防止することを含む方法。
  21. 【請求項21】 請求項19に記載の方法であって、更に、前記第二の金属
    層を堆積する前に、前記第一の金属層上に形成された如何なる酸化銅層をも除去
    することを含む方法。
  22. 【請求項22】 請求項19に記載の方法であって、前記第一の誘電体層は
    窒化シリコンからなる方法。
  23. 【請求項23】 請求項19に記載の方法であって、前記第二の誘電体層は
    二酸化シリコンからなる方法。
  24. 【請求項24】 請求項19に記載の方法であって、前記バリア層を堆積す
    ることは、タンタル、窒化タンタル、窒化チタン、タングステンおよび窒化タン
    グステンからなる群から選択される材料を堆積することを含む方法。
  25. 【請求項25】 請求項21に記載の方法であって: 前記バリア層の少なくとも一部を除去することは、前記バリア層をスパッタリ
    ングすることを含み; 前記第一の誘電体層の少なくとも一部を除去することは、前記第1の誘電体層
    をスパッタリングすることを含み; 前記如何なる酸化銅層をも除去することは、前記酸化銅層をスパッタリングす
    ることを含む方法。
  26. 【請求項26】 請求項25に記載の方法であって:前記バリア層を堆積す
    ること、該バリア層をスパッタリングすること、前記第一の誘電体層をスパッタ
    リングすること、および前記如何なる酸化銅をもスパッタリングすることは、同
    じチャンバ内において、前記バリア層を堆積し、該バリア層をスパッタリングし
    、前記第一の誘電体層をスパッタリングし、前記如何なる酸化銅層をもスパッタ
    リングすることを含む方法。
  27. 【請求項27】 請求項26に記載の方法であって:前記バリア層を堆積す
    ること、該バリア層をスパッタリングすること、前記第一の誘電体層をスパッタ
    リングすること、および前記如何なる酸化銅をもスパッタリングすることは、高
    密度プラズマチャンバ内において、前記バリア層を堆積し、該バリア層をスパッ
    タリングし、前記第一の誘電体層をスパッタリングし、前記如何なる酸化銅層を
    もスパッタリングすることを含む方法。
  28. 【請求項28】 請求項27に記載の方法であって、更に、前記バリア層の
    堆積、前記バリア層のスパッタリング、前記第一の誘電体層のスパッタリングお
    よび前記如何なる酸化銅層のスパッタリングが同時に生じるように、前記高密度
    プラズマチャンバの動作パラメータを調節することを含む方法。
  29. 【請求項29】 請求項15に記載の方法であって、更に、前記第二の金属
    層の堆積に続いて、前記ビアを導電性材料で埋めることを含む方法。
  30. 【請求項30】 請求項15に記載の方法により形成された半導体装置。
  31. 【請求項31】 第一の金属層と第二の金属層の間にコンタクトを形成する
    方法において: ウエハー上に形成された第一の金属層、該第一の金属層上に形成された第一の
    誘電体層、および該第一の誘電体層上に形成された第二の誘電体層を有する半導
    体ウエハーであって、前記第二の誘電体層はその中で前記第一の金属層の原子が
    高度に移動性であり、且つ前記第一の誘電体層を露出するビアが形成されている
    半導体ウエハーを準備することと; 前記第二の誘電体層の上にバリア層を堆積することと; 前記第一の誘電体層の少なくとも一部を露出させることと; 前記第一の金属層上に堆積された前記第一の誘電体層の少なくとも一部を除去
    して、前記第一の金属層を露出させることと; 前記露出された第一の金属層の上に第二の金属層を堆積することにより、前記
    第一の金属層と第二の金属層との間にコンタクトを形成することとを具備した方
    法。
  32. 【請求項32】 第一の金属層と第二の金属層の間にコンタクトを形成する
    方法において: 第一の金属層を設けることと; 前記第一の金属層の上に第一の誘電体層を堆積することと; 前記第一の誘電体層の上に、前記第一の金属層の原子がその中で高度に移動性
    である第二の誘電体層を堆積することと; 前記第二の誘電体層にビアを形成して、前記第一の誘電体層を露出させること
    と; 前記第一の金属層上に堆積された前記第一の誘電体層の少なくとも一部を除去
    して、前記第一の金属層を露出させることと; 前記第二の誘電体層および前記露出された第一の金属層の上にバリア層を堆積
    することと; 前記バリア層の上に第二の金属層を堆積することにより、前記第一の金属層と
    第二の金属層との間に前記バリア層を介したコンタクトを形成することとを具備
    した方法。
  33. 【請求項33】 請求項32に記載の方法であって、前記第一の金属層が銅
    からなる方法。
  34. 【請求項34】 請求項33に記載の方法であって、更に、前記第一の金属
    層を大気に露出する前に前記第一の金属層上に前記第一の誘電体層を堆積して、
    酸化銅の形成を防止することを含む方法。
  35. 【請求項35】 請求項33に記載の方法であって、更に、前記バリア層を
    堆積する前に、前記第一の金属層上に形成された如何なる酸化銅層をも除去する
    ことを含む方法。
  36. 【請求項36】 請求項33に記載の方法であって、前記第一の誘電体層は
    窒化シリコンからなる方法。
  37. 【請求項37】 請求項33に記載の方法であって、前記第二の誘電体層は
    二酸化シリコンからなる方法。
  38. 【請求項38】 請求項33に記載の方法であって、前記バリア層を堆積す
    ることは、タンタル、窒化タンタル、窒化チタン、タングステンおよび窒化タン
    グステンからなる群から選択される材料を堆積することを含む方法。
  39. 【請求項39】 請求項35に記載の方法であって: 前記第一の誘電体層の少なくとも一部を除去することは、前記第1の誘電体層
    をスパッタリングすることを含み; 前記如何なる酸化銅層をも除去することは、前記酸化銅層をスパッタリングす
    ることを含む方法。
  40. 【請求項40】 請求項39に記載の方法であって:前記第一の誘電体層を
    スパッタリングすること、前記如何なる酸化銅をもスパッタリングすること、お
    よび前記バリア層を堆積することは、同じチャンバ内において、前記第一の誘電
    体層をスパッタリングし、前記如何なる酸化銅層をもスパッタリングし、前記バ
    リア層を堆積することを含む方法。
  41. 【請求項41】 請求項40に記載の方法であって:前記第一の誘電体層を
    スパッタリングすること、前記如何なる酸化銅をもスパッタリングすること、お
    よび前記バリア層を堆積することは、高密度プラズマチャンバ内において、前記
    第一の誘電体層をスパッタリングし、前記如何なる酸化銅層をもスパッタリング
    し、前記バリア層を堆積することを含む方法。
  42. 【請求項42】 請求項に記載の方法であって、更に、前記第一の誘電体層
    のスパッタリング、前記如何なる酸化銅層のスパッタリング、および前記バリア
    層の堆積が同時に生じるように、前記高密度プラズマチャンバの動作パラメータ
    を調節することを含む方法。
  43. 【請求項43】 請求項32に記載の方法であって、更に、前記第二の金属
    層の堆積に続いて、前記ビアを導電性材料で埋めることを含む方法。
  44. 【請求項44】 請求項32に記載の方法により形成された半導体装置。
  45. 【請求項45】 第一の金属層と第二の金属層の間にコンタクトを形成する
    方法において: ウエハー上に形成された第一の金属層、該第一の金属層上に形成された第一の
    誘電体層、および該第一の誘電体層上に形成された第二の誘電体層を有する半導
    体ウエハーであって、前記第二の誘電体層はその中で前記第一の金属層の原子が
    高度に移動性であり且つその中に形成されたビアを有し、前記第一の誘電体層の
    少なくとも一部が除去されて前記第一の金属層が露出されている半導体ウエハー
    を準備することと; 前記第二の誘電体層および前記露出された第一の金属層の上にバリア層を堆積
    することと; 前記バリア層上に第二の金属層を堆積することにより、前記第一の金属層と第
    二の金属層との間に前記バリア層を介したコンタクトを形成することとを具備し
    た方法。
  46. 【請求項46】 半導体装置において: 第一の金属層と; 前記第一の金属層上に形成された第一の誘電体層であって、その中で前記第一
    の金属層の原子が高度に移動性であり、且つ前記第一の誘電体層を露出するよう
    にその中にビアが形成された第一の誘電体層と; 前記第一の誘電体層および前記露出された第一の金属層の上に堆積されたバリ
    ア層であって、前記第一の金属層を露出するように、その中に形成された孔を有
    するバリア層と; 前記バリア層および前記第一の金属層の上に堆積された第二の金属層とを具備
    した半導体装置。
  47. 【請求項47】 請求項46に記載の半導体装置であって、前記第一の金属
    層が銅からなる装置。
  48. 【請求項48】 請求項47に記載の半導体装置であって、前記第一の誘電
    体層が二酸化シリコンからなる半導体装置。
  49. 【請求項49】 請求項47に記載の半導体装置であって、前記バリア層は
    、タンタル、窒化タンタル、窒化チタン、タングステンおよび窒化タングステン
    からなる群から選択される半導体装置。
  50. 【請求項50】 半導体装置において: 第一の金属層と; 前記第一の金属層上に堆積された第一の誘電体層であって、前記第一の金属層
    を露出させるように孔が形成された第一の誘電体層と; 前記第一の誘電体層上に堆積された第二の誘電体層であって、その中で前記第
    一の金属層の原子が高度に移動性であり、且つ前記第一の誘電体層を露出するよ
    うにその中にビアが形成された第二の誘電体層と; 前記第一の誘電体層および前記第二の誘電体層の上に堆積されたバリア層と; 前記バリア層上に堆積された第二の金属層とを具備した半導体装置。
  51. 【請求項51】 請求項50に記載の半導体装置であって、更に、前記バリ
    ア層は前記第一の金属層を露出させる孔を有し、また前記第二の金属層は前記バ
    リア層の孔を通して前記第一の金属層にコンタクトする半導体装置。
  52. 【請求項52】 請求項51に記載の半導体装置であって、前記第一の金属
    層は銅からなる半導体装置。
  53. 【請求項53】 請求項51に記載の半導体装置であって、前記第一の誘電
    体層は窒化シリコンからなる半導体装置。
  54. 【請求項54】 請求項51に記載の半導体装置であって、前記第二の誘電
    体層は二酸化シリコンからなる半導体装置。
  55. 【請求項55】 請求項51に記載の半導体装置であって、前記バリア層は
    、タンタル、窒化タンタル、窒化チタン、タングステンおよび窒化タングステン
    からなる群から選択される半導体装置。
  56. 【請求項56】 自動化された半導体製造装置において: ウエハーを前記装置にロードするためのロードポートと; 前記ロードポートに結合されたロボットチャンバと; 前記ロボットチャンバに結合された複数の処理チャンバと; 前記ロードポート、前記ロボットチャンバ、および前記複数の処理チャンバに
    結合されたコントローラであって、複数の処理チャンバの間でウエハーを移送し
    、該チャンバ内でプロセスを実行して、直接コンタクトした第一および第二の金
    属層を有する銅配線を形成するようにプログラムされたコントローラとを具備す
    る装置。
  57. 【請求項57】 請求項56に記載の装置であって:前記複数の処理チャン
    バは、 ・前記ロボットチャンバに結合された高密度プラズマによる バリア層堆積チャンバと; ・前記ロボットチャンバに結合された銅堆積チャンバ とを具備し、また前記コントローラは、 (a)前記高密度プラズマチャンバにウエハを移送し、該ウエハーはその上に
    堆積された第一の銅層および該第一の銅層上に堆積された第一の誘電体層を有し
    、前記第一の誘電体層は前記第一の銅層を露出させるようにその中に形成された
    ビアを有し; (b)前記高密度プラズマチャンバ内で同時的に堆積/スパッタエッチングを
    行って、前記第一の誘電体および前記ビアの側壁上にバリア層を堆積し、またビ
    アの底および前記第一の銅層上に形成された如何なる酸化銅層をもスパッタエッ
    チングし; (c)前記ウエハーを前記高圧堆積チャンバから前記銅堆積チャンバへと移送
    し; (d)前記バリア層および前記露出された第一の銅層の上に第二の銅層を堆積
    し、それによって前記第二の銅層と前記第一の銅層の間に直接のコンタクトを形
    成するためのプログラムを含む装置。
  58. 【請求項58】 請求項56に記載の装置であって:前記複数の処理チャン
    バは、 ・前記ロボットチャンバに結合された高密度プラズマによる バリア層堆積チャンバと; ・前記ロボットチャンバに結合された銅堆積チャンバ とを具備し、また前記コントローラは、 (a)前記高密度プラズマチャンバにウエハを移送し、該ウエハーはその上に
    堆積された第一の銅層および該第一の銅層上に堆積された第一の誘電体層を有し
    、前記第一の誘電体層は前記第一の銅層を露出させるようにその中に形成された
    ビアを有し; (b)前記高密度プラズマチャンバ内でバリア層材料を堆積して、前記第一の
    誘電体、前記ビアの側壁、および前記ビアの底の上にバリア層を堆積し; (c)前記高密度プラズマチャンバ内で同時的に堆積/スパッタエッチングを
    行って、前記ビアの底のバリア層材料、および前記第一の銅層上に形成された如
    何なる酸化銅層をもスパッタエッチングし; (d)前記ウエハーを、前記高密度プラズマチャンバから前記銅堆積チャンバ
    へと移送し; (e)前記バリア層および前記露出された第一の銅層の上に第二の銅層を堆積
    し、それによって前記第二の銅層と前記第一の銅層の間に直接のコンタクトを形
    成するためのプログラムを含む装置。
  59. 【請求項59】 請求項56に記載の装置であって:前記複数の処理チャン
    バは、 ・前記ロボットチャンバに結合された高密度プラズマによる バリア層堆積チャンバと; ・前記ロボットチャンバに結合された銅堆積チャンバ とを具備し、また前記コントローラは、 (a)前記高密度プラズマチャンバにウエハを移送し、該ウエハーはその上に
    堆積された第一の銅層、該第一の銅層上に堆積された第一の誘電体層、および前
    記第一の誘電体層上に堆積された第二の誘電体層を有し、前記第二の誘電体層は
    、前記第一の誘電体層を露出させるようにその中に形成されたビアを有し; (b)前記高密度プラズマチャンバ内で同時的に堆積/スパッタエッチングを
    行って、前記第二の誘電体層および前記ビアの側壁上にバリア層を堆積し、また
    ビアの底、前記第一の誘電体層、および前記第一の銅層上に形成された如何なる
    酸化銅層をもスパッタエッチングすることにより、前記第一の銅層を露出させ; (c)前記ウエハーを、前記高圧堆積チャンバから前記銅堆積チャンバへと移
    送し; (d)前記バリア層および前記露出された第一の銅層の上に第二の銅層を堆積
    し、それによって前記第二の銅層と前記第一の銅層の間に直接のコンタクトを形
    成するためのプログラムを含む装置。
  60. 【請求項60】 請求項56に記載の装置であって:前記複数の処理チャン
    バは、 ・前記ロボットチャンバに結合された高密度プラズマによる バリア層堆積チャンバと; ・前記ロボットチャンバに結合されたエッチチャンバと; ・前記ロボットチャンバに結合された銅堆積チャンバ とを具備し、また前記コントローラは、 (a)前記バリア層堆積チャンバにウエハを移送し、該ウエハーはその上に堆
    積された第一の銅層、および該第一の銅層上に堆積された第一の誘電体層を有し
    、前記第一の誘電体層は前記第一の銅層を露出させるようにその中に形成された
    ビアを有し; (b)前記高密度プラズマチャンバ内でバリア層材料を堆積して、前記第一の
    誘電体、前記ビアの側壁、および前記ビアの底の上にバリア層を堆積し; (c)前記ウエハーを、前記バリア層堆積チャンバから前記エッチチャンバへ
    と移送し; (d)前記エッチチャンバ内で前記バリア層をエッチングして、前記ビアの底
    のバリア層材料、および前記第一の銅層上に形成された如何なる酸化銅層をもエ
    ッチングすることにより、前記第一の銅層を露出させ; (e)前記ウエハーを、前記エッチチャンバから前記銅堆積チャンバへと移送
    し; (f)前記バリア層および前記露出された第一の銅層の上に第二の銅層を堆積
    し、それによって前記第二の銅層と前記第一の銅層の間に直接のコンタクトを形
    成するためのプログラムを含む装置。
  61. 【請求項61】 請求項56に記載の装置であって:前記複数の処理チャン
    バは、 ・前記ロボットチャンバに結合された高密度プラズマによる バリア層堆積チャンバと; ・前記ロボットチャンバに結合されたエッチチャンバと; ・前記ロボットチャンバに結合された銅堆積チャンバ とを具備し、また前記コントローラは、 (a)前記バリア層堆積チャンバにウエハを移送し、該ウエハーはその上に堆
    積された第一の銅層、該第一の銅層上に堆積された第一の誘電体層、および該第
    一の誘電体層上に堆積された第二の誘電体層を有し、該第二の誘電体層は前記第
    一の誘電体層を露出させるようにその中に形成されたビアを有し; (b)前記バリア層堆積チャンバ内でバリア層材料を堆積して、前記第二の誘
    電体、前記ビアの側壁、および前記露出した前記第一の誘電体層の上にバリア層
    材料を堆積し; (c)前記ウエハーを、前記バリア層堆積チャンバから前記エッチチャンバへ
    と移送し; (d)前記エッチチャンバ内で前記バリア層をエッチングして、前記第一の誘
    電体層上に堆積したバリア層材料、前記第一の誘電体層、および前記第一の銅層
    上に形成された如何なる酸化銅層をもエッチングすることにより、前記第一の銅
    層を露出させ; (e)前記ウエハーを、前記エッチチャンバから前記銅堆積チャンバへと移送
    し; (f)前記バリア層および前記露出された第一の銅層の上に第二の銅層を堆積
    し、それによって前記第二の銅層と前記第一の銅層の間に直接のコンタクトを形
    成するためのプログラムを含む装置。
  62. 【請求項62】 自動化された半導体製造装置において: ウエハーを前記装置にロードするためのロードポートと; 前記ロードポートに結合されたロボットチャンバと; 前記ロボットチャンバに結合されたバリア層堆積チャンバと; 前記ロボットチャンバに結合されたエッチチャンバと; 前記ロボットチャンバに結合された銅層堆積チャンバと; 前記ロードポート、前記ロボットチャンバ、前記バリア層堆積チャンバ、前記
    エッチチャンバ、および前記銅層堆積チャンバに結合されたコントローラとを具
    備し、 前記コントローラは、 (a)前記エッチチャンバにウエハを移送し、該ウエハーはその上に堆積され
    た第一の銅層、該第一の銅層上に堆積された第一の誘電体層、および該第一の誘
    電体層上に堆積された第二の誘電体層を有し、該第二の誘電体層は前記第一の誘
    電体層を露出させるようにその中に形成されたビアを有し; (b)前記露出した第一の誘電体層、および前記第一の銅層上に形成された如
    何なる酸化銅層をもエッチングして、前記第一の銅層を露出させ; (c)前記ウエハーを、前記エッチチャンバから前記バリア層堆積チャンバへ
    と移送し; (d)前記バリア層堆積チャンバ内でバリア層材料を堆積して、前記第二の誘
    電体、前記ビアの側壁、および前記露出した前記第一の銅層の上にバリア層材料
    を堆積し; (e)前記ウエハーを、前記バリア層堆積バから前記銅堆積チャンバへと移送
    し; (f)前記バリア層上に第二の銅層を堆積し、それによって前記第二の銅層と
    前記第一の銅層の間に、前記バリア層を介したコンタクトを形成するためのプロ
    グラムを含む装置。
JP2000562948A 1998-07-31 1999-07-26 改善された金属配線のための方法および装置 Withdrawn JP2002521842A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/126,890 1998-07-31
US09/126,890 US6287977B1 (en) 1998-07-31 1998-07-31 Method and apparatus for forming improved metal interconnects
PCT/US1999/016887 WO2000007236A2 (en) 1998-07-31 1999-07-26 Method and apparatus for forming improved metal interconnects

Publications (1)

Publication Number Publication Date
JP2002521842A true JP2002521842A (ja) 2002-07-16

Family

ID=22427225

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000562948A Withdrawn JP2002521842A (ja) 1998-07-31 1999-07-26 改善された金属配線のための方法および装置

Country Status (5)

Country Link
US (4) US6287977B1 (ja)
EP (2) EP1099250A2 (ja)
JP (1) JP2002521842A (ja)
TW (1) TW410431B (ja)
WO (1) WO2000007236A2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006024905A (ja) * 2004-06-10 2006-01-26 Renesas Technology Corp 半導体装置およびその製造方法
JP2006049900A (ja) * 2004-07-30 2006-02-16 Samsung Electronics Co Ltd 半導体素子の金属配線形成方法
JP2006510195A (ja) * 2002-12-11 2006-03-23 インターナショナル・ビジネス・マシーンズ・コーポレーション キャップ層を有する半導体相互接続構造上に金属層を堆積させる方法
JP2008010532A (ja) * 2006-06-28 2008-01-17 Sony Corp 半導体装置の製造方法
JP2008060243A (ja) * 2006-08-30 2008-03-13 Nec Electronics Corp 半導体装置およびその製造方法
JP2008205505A (ja) * 2004-06-10 2008-09-04 Renesas Technology Corp 半導体装置の製造方法
JP2009246394A (ja) * 2009-07-27 2009-10-22 Nec Corp 半導体装置の製造方法
KR101177576B1 (ko) * 2003-06-13 2012-08-27 어플라이드 머티어리얼스, 인코포레이티드 구리 금속배선을 위한 통합식 질화탄탈 원자층 증착 방법및 이를 위한 장치
US8324095B2 (en) 2001-09-26 2012-12-04 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US8432037B2 (en) 2004-06-10 2013-04-30 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
KR20200126660A (ko) * 2019-04-30 2020-11-09 한국전기연구원 일체형 열전소자기판 및 이를 이용한 열전소자

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6911124B2 (en) * 1998-09-24 2005-06-28 Applied Materials, Inc. Method of depositing a TaN seed layer
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US7381638B1 (en) * 1999-06-09 2008-06-03 National Semiconductor Corporation Fabrication technique using sputter etch and vacuum transfer
US6927160B1 (en) * 1999-06-09 2005-08-09 National Semiconductor Corporation Fabrication of copper-containing region such as electrical interconnect
FR2798512B1 (fr) 1999-09-14 2001-10-19 Commissariat Energie Atomique Procede de realisation d'une connexion en cuivre au travers d'une couche de materiau dielectrique d'un circuit integre
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
FR2819635B1 (fr) * 2001-01-18 2004-01-23 St Microelectronics Sa Procede de fabrication de reseaux d'interconnexions
JP2002289559A (ja) * 2001-02-01 2002-10-04 Texas Instr Inc <Ti> 集積回路の製造方法
US6624066B2 (en) * 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
US6641747B1 (en) * 2001-02-15 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for determining an etch endpoint
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7781327B1 (en) * 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6642146B1 (en) 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
US6607977B1 (en) 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
TW552624B (en) 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US7744735B2 (en) * 2001-05-04 2010-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
US6649517B2 (en) * 2001-05-18 2003-11-18 Chartered Semiconductor Manufacturing Ltd. Copper metal structure for the reduction of intra-metal capacitance
US6503824B1 (en) * 2001-10-12 2003-01-07 Mosel Vitelic, Inc. Forming conductive layers on insulators by physical vapor deposition
KR100993046B1 (ko) * 2001-11-14 2010-11-08 어플라이드 머티어리얼스, 인코포레이티드 스퍼터링 및 재스퍼터링을 위한 자기-이온화 및 유도 결합플라즈마
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
US6797642B1 (en) 2002-10-08 2004-09-28 Novellus Systems, Inc. Method to improve barrier layer adhesion
US7565137B2 (en) * 2002-10-25 2009-07-21 At&T Mobility Ii Llc Delivery of network services
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US6858124B2 (en) * 2002-12-16 2005-02-22 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US7147767B2 (en) * 2002-12-16 2006-12-12 3M Innovative Properties Company Plating solutions for electrochemical or chemical deposition of copper interconnects and methods therefor
US6884338B2 (en) * 2002-12-16 2005-04-26 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US7294241B2 (en) * 2003-01-03 2007-11-13 Chartered Semiconductor Manufacturing Ltd. Method to form alpha phase Ta and its application to IC manufacturing
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
US6784105B1 (en) * 2003-04-09 2004-08-31 Infineon Technologies North America Corp. Simultaneous native oxide removal and metal neutral deposition method
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US20040211661A1 (en) * 2003-04-23 2004-10-28 Da Zhang Method for plasma deposition of a substrate barrier layer
US7205235B2 (en) * 2003-12-15 2007-04-17 Freescale Semiconductor, Inc. Method for reducing corrosion of metal surfaces during semiconductor processing
KR100558009B1 (ko) * 2004-01-12 2006-03-06 삼성전자주식회사 확산방지막을 선택적으로 형성하여 반도체소자를 제조하는방법 및 그것에 의해 제조된 반도체소자
JP2005235860A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US20050189656A1 (en) * 2004-02-26 2005-09-01 Chun Yee Tan Micro-vias for electronic packaging
US7235487B2 (en) * 2004-05-13 2007-06-26 International Business Machines Corporation Metal seed layer deposition
US20060024953A1 (en) * 2004-07-29 2006-02-02 Papa Rao Satyavolu S Dual damascene diffusion barrier/liner process with selective via-to-trench-bottom recess
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US7749881B2 (en) * 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US20060292846A1 (en) * 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
JP2006148074A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7244344B2 (en) * 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US7465680B2 (en) * 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7544574B2 (en) * 2005-10-11 2009-06-09 Intermolecular, Inc. Methods for discretized processing of regions of a substrate
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
EP1994550A4 (en) * 2006-02-10 2012-01-11 Intermolecular Inc METHOD AND DEVICE FOR COMBINATORY VARIANT MATERIALS, UNIT PROCESS AND PROCESS PROCESS
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
US7517736B2 (en) * 2006-02-15 2009-04-14 International Business Machines Corporation Structure and method of chemically formed anchored metallic vias
US20070252277A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication method thereof
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
JP2008085175A (ja) * 2006-09-28 2008-04-10 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置、基板処理システム、プログラム及び記憶媒体。
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20080160754A1 (en) * 2006-12-27 2008-07-03 International Business Machines Corporation Method for fabricating a microelectronic conductor structure
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102007004884A1 (de) * 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
JP5194549B2 (ja) * 2007-04-27 2013-05-08 富士通セミコンダクター株式会社 半導体装置の製造方法
US20080280446A1 (en) * 2007-05-08 2008-11-13 Qimonda Ag Method of producing a microscopic hole in a layer and integrated device with a microscopic hole in a layer
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090050471A1 (en) * 2007-08-24 2009-02-26 Spansion Llc Process of forming an electronic device including depositing layers within openings
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
TWI501302B (zh) * 2008-08-21 2015-09-21 Acm Res Shanghai Inc 阻擋層的去除方法和裝置
KR20100032644A (ko) * 2008-09-18 2010-03-26 삼성전자주식회사 선택적 플라즈마 처리를 이용한 반도체 소자의 금속배선 형성방법
JP5377993B2 (ja) * 2009-01-30 2013-12-25 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
US9356252B2 (en) * 2012-01-18 2016-05-31 Joled Inc. Electronic device and manufacturing method therefor
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
US9748169B1 (en) 2016-04-04 2017-08-29 International Business Machines Corporation Treating copper interconnects
US10312181B2 (en) 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10396012B2 (en) 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US9786605B1 (en) 2016-05-27 2017-10-10 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
TWI700799B (zh) * 2016-10-04 2020-08-01 聯華電子股份有限公司 導電結構、包含導電結構之佈局結構以及導電結構之製作方法
JP7224290B2 (ja) * 2017-01-18 2023-02-17 フェニックス エルエルシー 高電力イオンビーム発生器システムおよび方法
JP7171216B2 (ja) * 2018-04-10 2022-11-15 東洋鋼鈑株式会社 圧延接合体及び圧延接合体の製造方法
KR102493464B1 (ko) 2018-07-19 2023-01-30 삼성전자 주식회사 집적회로 장치 및 이의 제조 방법
US11081388B2 (en) 2019-01-10 2021-08-03 International Business Machines Corporation Forming barrierless contact

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3410774A (en) 1965-10-23 1968-11-12 Ibm Method and apparatus for reverse sputtering selected electrically exposed areas of a cathodically biased workpiece
US4201266A (en) * 1978-11-02 1980-05-06 Texaco Inc. Rotatable washer self-cleaning helical spring screen and methods
US4358338A (en) 1980-05-16 1982-11-09 Varian Associates, Inc. End point detection method for physical etching process
AU570439B2 (en) 1983-03-28 1988-03-17 Compression Labs, Inc. A combined intraframe and interframe transform coding system
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
FI72557C (fi) 1984-01-11 1992-01-08 Kemira Oy Pappersframstaellningsfoerfarande och aemneskomposition foer anvaendning daeri.
US4865712A (en) 1984-05-17 1989-09-12 Varian Associates, Inc. Apparatus for manufacturing planarized aluminum films
US4681653A (en) 1984-06-01 1987-07-21 Texas Instruments Incorporated Planarized dielectric deposited using plasma enhanced chemical vapor deposition
JPH0697660B2 (ja) 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
KR900005785B1 (ko) 1985-05-13 1990-08-11 닛뽄덴신덴와 가부시끼가이샤 평탄성 박막의 제조방법
JPS61261472A (ja) 1985-05-13 1986-11-19 Nippon Telegr & Teleph Corp <Ntt> バイアススパツタ法およびその装置
CH665428A5 (de) 1985-07-26 1988-05-13 Balzers Hochvakuum Verfahren zur beschichtung von mikrovertiefungen.
US4891112A (en) 1985-11-12 1990-01-02 Eastman Kodak Company Sputtering method for reducing hillocking in aluminum layers formed on substrates
JPS62287071A (ja) 1986-06-06 1987-12-12 Tadahiro Omi 薄膜の形成装置および形成方法
JPH0798521B2 (ja) 1986-08-20 1995-10-25 澁谷工業株式会社 回転式重量充填装置
US4756810A (en) 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US4767496A (en) 1986-12-11 1988-08-30 Siemens Aktiengesellschaft Method for controlling and supervising etching processes
US4810335A (en) 1987-01-20 1989-03-07 Siemens Aktiengesellschaft Method for monitoring etching processes
US4962060A (en) 1987-03-10 1990-10-09 Advanced Micro Devices, Inc. Making a high speed interconnect system with refractory non-dogbone contacts and an active electromigration suppression mechanism
JP2602276B2 (ja) 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
US4793895A (en) 1988-01-25 1988-12-27 Ibm Corporation In situ conductivity monitoring technique for chemical/mechanical planarization endpoint detection
US4963239A (en) 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
US4874493A (en) 1988-03-28 1989-10-17 Microelectronics And Computer Technology Corporation Method of deposition of metal into cavities on a substrate
JPH0730468B2 (ja) 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
JP2565758B2 (ja) 1988-11-14 1996-12-18 弓子 福原 音環境制御方法
US5126028A (en) 1989-04-17 1992-06-30 Materials Research Corporation Sputter coating process control method and apparatus
JPH0740569B2 (ja) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
JPH0414831A (ja) 1990-05-08 1992-01-20 Sony Corp 配線形成方法
JPH0430421A (ja) 1990-05-25 1992-02-03 Sony Corp 選択メタル成長法
US5069770A (en) 1990-07-23 1991-12-03 Eastman Kodak Company Sputtering process employing an enclosed sputtering target
US5078847A (en) 1990-08-29 1992-01-07 Jerry Grosman Ion plating method and apparatus
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JPH0529254A (ja) 1991-07-24 1993-02-05 Sony Corp 配線形成方法
DE9109503U1 (ja) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier, De
US5482611A (en) 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
US5270264A (en) 1991-12-20 1993-12-14 Intel Corporation Process for filling submicron spaces with dielectric
US5262354A (en) 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5302266A (en) 1992-03-20 1994-04-12 International Business Machines Corporation Method and apparatus for filing high aspect patterns with metal
US5685961A (en) 1992-03-27 1997-11-11 P & D Medical Coatings, Inc. Method for fabrication of metallized medical devices
US5371042A (en) 1992-06-16 1994-12-06 Applied Materials, Inc. Method of filling contacts in semiconductor devices
US5612254A (en) 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5346600A (en) 1992-08-14 1994-09-13 Hughes Aircraft Company Plasma-enhanced magnetron-sputtered deposition of materials
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
DE4237334A1 (de) * 1992-11-05 1994-05-11 Hoechst Ag Verfahren zur quantitativen Aufreinigung von Glycolipiden
US5510011A (en) 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
CA2082771C (en) 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5376584A (en) 1992-12-31 1994-12-27 International Business Machines Corporation Process of making pad structure for solder ball limiting metallurgy having reduced edge stress
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5639357A (en) 1994-05-12 1997-06-17 Applied Materials Synchronous modulation bias sputter method and apparatus for complete planarization of metal films
US5651865A (en) 1994-06-17 1997-07-29 Eni Preferential sputtering of insulators from conductive targets
US5516399A (en) 1994-06-30 1996-05-14 International Business Machines Corporation Contactless real-time in-situ monitoring of a chemical etching
DE69513459T2 (de) 1994-08-05 2000-10-26 Ibm Verfahren zur Herstellung einer Al-Ge Legierung mit einer WGe Polierstoppschicht
US5654232A (en) 1994-08-24 1997-08-05 Intel Corporation Wetting layer sidewalls to promote copper reflow into grooves
US5472349A (en) 1994-10-31 1995-12-05 The Whitaker Corporation Surface mountable board edge connector
EP0735577A3 (en) 1994-12-14 1997-04-02 Applied Materials Inc Deposit process and apparatus
JP3655334B2 (ja) 1994-12-26 2005-06-02 松下電器産業株式会社 マグネトロンスパッタリング装置
US5585974A (en) 1995-02-17 1996-12-17 Conner Peripherals, Inc. Disk drive with PRML read channel calibration using a noise generator
US5512150A (en) 1995-03-09 1996-04-30 Hmt Technology Corporation Target assembly having inner and outer targets
US5613296A (en) 1995-04-13 1997-03-25 Texas Instruments Incorporated Method for concurrent formation of contact and via holes
US5534460A (en) 1995-04-27 1996-07-09 Vanguard International Semiconductor Corp. Optimized contact plug process
US5858184A (en) 1995-06-07 1999-01-12 Applied Materials, Inc. Process for forming improved titanium-containing barrier layers
US5589041A (en) 1995-06-07 1996-12-31 Sony Corporation Plasma sputter etching system with reduced particle contamination
EP0751566A3 (en) 1995-06-30 1997-02-26 Ibm Metal thin film barrier for electrical connections
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5584974A (en) 1995-10-20 1996-12-17 Eni Arc control and switching element protection for pulsed dc cathode sputtering power supply
AUPN637795A0 (en) * 1995-11-03 1995-11-30 Ici Australia Operations Proprietary Limited Method and apparatus for blasthole stemming
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5807467A (en) 1996-01-22 1998-09-15 Micron Technology, Inc. In situ preclean in a PVD chamber with a biased substrate configuration
JP3012187B2 (ja) 1996-02-05 2000-02-21 松下電子工業株式会社 半導体装置の製造方法
US5770023A (en) 1996-02-12 1998-06-23 Eni A Division Of Astec America, Inc. Etch process employing asymmetric bipolar pulsed DC
JPH09260492A (ja) 1996-03-25 1997-10-03 Toshiba Corp 半導体装置の製造方法
US5744376A (en) 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5725739A (en) 1996-07-08 1998-03-10 Micron Technology, Inc. Low angle, low energy physical vapor deposition of alloys
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5685959A (en) 1996-10-25 1997-11-11 Hmt Technology Corporation Cathode assembly having rotating magnetic-field shunt and method of making magnetic recording media
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
JPH10219430A (ja) 1997-02-05 1998-08-18 Minolta Co Ltd マグネトロンスパッタ法により得られる化合物薄膜ならびにそれを製造するための方法および装置
US5759906A (en) * 1997-04-11 1998-06-02 Industrial Technology Research Institute Planarization method for intermetal dielectrics between multilevel interconnections on integrated circuits
JP3846970B2 (ja) 1997-04-14 2006-11-15 キヤノンアネルバ株式会社 イオン化スパッタリング装置
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6042700A (en) 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6110821A (en) 1998-01-27 2000-08-29 Applied Materials, Inc. Method for forming titanium silicide in situ

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8324095B2 (en) 2001-09-26 2012-12-04 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
JP2006510195A (ja) * 2002-12-11 2006-03-23 インターナショナル・ビジネス・マシーンズ・コーポレーション キャップ層を有する半導体相互接続構造上に金属層を堆積させる方法
KR101177576B1 (ko) * 2003-06-13 2012-08-27 어플라이드 머티어리얼스, 인코포레이티드 구리 금속배선을 위한 통합식 질화탄탈 원자층 증착 방법및 이를 위한 장치
JP2006024905A (ja) * 2004-06-10 2006-01-26 Renesas Technology Corp 半導体装置およびその製造方法
US8749064B2 (en) 2004-06-10 2014-06-10 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
JP2008205505A (ja) * 2004-06-10 2008-09-04 Renesas Technology Corp 半導体装置の製造方法
US8432037B2 (en) 2004-06-10 2013-04-30 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
US7936069B2 (en) 2004-06-10 2011-05-03 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
US8222146B2 (en) 2004-06-10 2012-07-17 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
JP2006049900A (ja) * 2004-07-30 2006-02-16 Samsung Electronics Co Ltd 半導体素子の金属配線形成方法
JP2008010532A (ja) * 2006-06-28 2008-01-17 Sony Corp 半導体装置の製造方法
JP2008060243A (ja) * 2006-08-30 2008-03-13 Nec Electronics Corp 半導体装置およびその製造方法
JP2009246394A (ja) * 2009-07-27 2009-10-22 Nec Corp 半導体装置の製造方法
KR20200126660A (ko) * 2019-04-30 2020-11-09 한국전기연구원 일체형 열전소자기판 및 이를 이용한 열전소자
KR102372438B1 (ko) * 2019-04-30 2022-03-08 한국전기연구원 일체형 열전소자기판 및 이를 이용한 열전소자

Also Published As

Publication number Publication date
US20020028576A1 (en) 2002-03-07
US20040152301A1 (en) 2004-08-05
WO2000007236A3 (en) 2000-06-22
US6559061B2 (en) 2003-05-06
TW410431B (en) 2000-11-01
US20020115287A1 (en) 2002-08-22
EP1246240A2 (en) 2002-10-02
US6287977B1 (en) 2001-09-11
EP1099250A2 (en) 2001-05-16
US6709987B2 (en) 2004-03-23
US6992012B2 (en) 2006-01-31
WO2000007236A2 (en) 2000-02-10

Similar Documents

Publication Publication Date Title
JP2002521842A (ja) 改善された金属配線のための方法および装置
US6217721B1 (en) Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
US7026238B2 (en) Reliability barrier integration for Cu application
US7576002B2 (en) Multi-step barrier deposition method
US6045666A (en) Aluminum hole filling method using ionized metal adhesion layer
US7732314B1 (en) Method for depositing a diffusion barrier for copper interconnect applications
US6764940B1 (en) Method for depositing a diffusion barrier for copper interconnect applications
KR100365643B1 (ko) 반도체 장치의 다마신 배선 형성 방법 및 그에 의해형성된 다마신 배선 구조체
US7645696B1 (en) Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US5985767A (en) Facet etch for improved step coverage of integrated circuit contacts
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US8765596B1 (en) Atomic layer profiling of diffusion barrier and metal seed layers
WO2012133400A1 (ja) Cu配線の形成方法
US5918150A (en) Method for a chemical vapor deposition of copper on an ion prepared conductive surface
US7071096B2 (en) Method of forming a conductive barrier layer within critical openings by a final deposition step after a re-sputter deposition
JPH1070093A (ja) イオン化金属付着層を用いるアルミニウムホール充填
JP3025229B2 (ja) 誘導結合プラズマを有するチャンバ内でのスパッタ中に、側壁カバレージを増加する方法及び装置
US20030203615A1 (en) Method for depositing barrier layers in an opening
US6380075B1 (en) Method for forming an open-bottom liner for a conductor in an electronic structure and device formed
JPH09120991A (ja) 結晶学的に配向されたライナー層を利用した、狭いアパーチャに対する金属の充填及び相互接続の形成
JP2003258088A (ja) 半導体装置、その製造方法、及びその製造装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20061003