JP2003218109A - 犠牲ハードマスクを用いて金属パターンを形成する方法 - Google Patents

犠牲ハードマスクを用いて金属パターンを形成する方法

Info

Publication number
JP2003218109A
JP2003218109A JP2003003569A JP2003003569A JP2003218109A JP 2003218109 A JP2003218109 A JP 2003218109A JP 2003003569 A JP2003003569 A JP 2003003569A JP 2003003569 A JP2003003569 A JP 2003003569A JP 2003218109 A JP2003218109 A JP 2003218109A
Authority
JP
Japan
Prior art keywords
layer
hard mask
forming
mask layer
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003003569A
Other languages
English (en)
Other versions
JP4169150B2 (ja
Inventor
Timothy J Dalton
ティモシー・ジェイ・ダルトン
Minakshisundaran B Anand
ミナクシサンダラン・ビー・アナンド
Michael D Armacost
マイケル・ディー・アーマコスト
Shyng-Tsong Chen
シャイング−ソング・チェン
Stephen M Gates
ステファン・エム・ゲイツ
Stephen E Greco
ステファン・イー・グレコ
Simon M Karecki
サイモン・エム・カレッキ
Satyanarayana V Nitta
サチャナラヤーナ・ブイ・ニッタ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2003218109A publication Critical patent/JP2003218109A/ja
Application granted granted Critical
Publication of JP4169150B2 publication Critical patent/JP4169150B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 低誘電率基板に金属パターンを形成する方法
を提供する。 【解決手段】 低K下部ハードマスク層31と上部ハー
ドマスク層20とを含むハードマスクを準備する。上部
ハードマスク層20は約200Åの厚さを有する犠牲層
であり、好ましくは高融点ナイトライドで形成される。
この犠牲層は後続のCMP金属除去プロセスにおいて停
止層として機能する。レジスト層を用いてパターニング
を実行する。ハードマスクに保護層31tを形成するこ
とにより、あるいは非酸化レジスト・ストリップ・プロ
セスを用いることにより、下部ハードマスク層31に対
する酸化ダメージを回避する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体プロセスに
関し、特に、デュアル・ダマシン・プロセスにおける配
線作製のためのディープ・サブミクロン・リソグラフィ
の限界寸法制御(critical dimension control)に関す
る。
【0002】この出願は、2000年4月17日に出願
され、本出願と同一の譲受人に譲渡された“配線構造を
作製する保護ハードマスク”と称される米国特許出願0
9/550,943に関連する。そして、この関連出願
の開示が明細書の内容として引用される。
【0003】
【従来の技術】多層配線構造を備えるデバイスは半導体
産業において周知である。デュアル・ダマシン・プロセ
スが、このような構造の製造に成功した方法であること
が証明されている。このプロセスは、一般的には、金属
配線をレベル間誘電体(ILD)層に埋め込む工程と、
ILD内に形成されたバイア・ホールをメタライズ(me
tallize)することによって金属層を接続する工程とを
含む。全体的なデバイスの電気的性能を向上させるため
には、ILDが低誘電率(K<4)を有することが極め
て望ましい。加えて、極微細ピッチ(<300nm)の
デバイスにおいては、配線とバイア・ホールとを、約1
00nmの限界寸法でILDへエッチングしなければな
らない。これは、一般的には、ハードマスクを用いてエ
ッチングを行うということを必要とする。さらに、IL
D上に残存するハードマスクの一部が、ILDにダメー
ジを与え得るマスク除去プロセスを避けることが多くの
場合望ましい。この層は“残留ハードマスク(residual
hardmask)”あるいは“永久ハードマスク(permanent
hardmask)”と呼ばれることもある。したがって、低
KのILDと接触しているハードマスク層もまた、低誘
電率を有する必要がある。
【0004】ILDに配線およびバイアを形成するため
の典型的なハードマスクを図1に概略的に示す。ILD
10を(図示しない)下側のレベルを覆うバリア層1の
上に配置する。ILDは、一般的には、有機ポリアリレ
ンエーテル熱硬化性誘電体(organic polyarylene ethe
r thermoset dielectric)もしくは同種の材料のような
ポリマで形成される。ハードマスクは、3つの層11〜
13を含む。永久ハードマスク層11は、低K材料(K
<4.5)で形成される。このような材料の例として
は、(Si,C,OおよびHを含有する)SiCOH,
SiC,SiC:H,および(CおよびHを含有する)
アモルファスSiのようなオルガノシリケート(organo
silicate)が挙げられる。層11は、典型的には窒化シ
リコンである層12によって覆われ、層11と層12の
厚さは、それぞれ約500Åと約350Åである。層1
3は、典型的には二酸化シリコンであり、約1500Å
の厚さを有する。金属配線用パターンを層13へ転写し
(“配線レベル(line-level)”・リソグラフィ)、図
2に示されるようにマスク内に露出領域2を形成させ
る。さらなるプロセスは、図3に示されるように、レジ
ストの層14を付着し、この層14をパターニングして
バイア開口部4を定める(“バイアレベル(via-leve
l)”リソグラフィ)工程を含む。これは、層13をパ
ターニングすることによって導入されたトポグラフィの
上でレジスト14を少なくとも部分的に平坦化するとい
うことを必要とする。層13は、また、小平面の形成
(すなわち、小平面3の形成)を免れず、これは限界寸
法制御のロスを引き起こす。プラズマ・ポリマのその上
への付着によって生じる配線縁部の粗化により、パター
ン転写の忠実度もまた低下する。
【0005】さらに、図4に示されるように、後続のプ
ロセスにおいて、エッチングされた配線とバイア開口部
とを、(多くの場合ライナ15と共に)金属16で過充
てんし、典型的には化学機械研磨(CMP)によって過
剰な金属を除去する必要がある。金属16とライナ材料
15とをほぼ同じ速度でCMPによって除去する場合
(例えば、金属16が銅でありライナ15がタングステ
ンである場合)には、残存するハードマスクは研磨停止
層の機能も果たす必要がある。窒化シリコンの薄い層1
2は、CMP停止層として有効ではないであろう。
【0006】
【発明が解決しようとする課題】ハードマスク構造が、
低誘電率の利点を保持しながら極めて高い忠実度のパタ
ーン転写を備えるプロセスを可能にするとともに有効な
CMP停止層を有する改良されたデュアル・ダマシン・
プロセスの必要性が存在する。
【0007】
【課題を解決するための手段】本発明は、上述した必要
性を対象とするものであり、低K誘電体層の上側の酸化
物層を少なくとも排除する犠牲ハードマスク層を含むハ
ードマスク構造を用いるデュアル・ダマシン・プロセス
を提供する。
【0008】本発明の第一の態様によれば、3つのハー
ドマスク層(下部層,中間層,上部層)を低K基板の上
に付着する方法が提供される。上部ハードマスク層は、
約200Åより薄い厚さを有する。第一のパターンに従
って第一の開口部を上部ハードマスク層に形成し、それ
によって中間ハードマスク層の一部を露出させる。第二
のパターンに従って第二の開口部を中間ハードマスク層
の露出部分に形成し、対応する開口部を下部ハードマス
ク層に形成し、それによって基板の一部を露出させる。
基板に開口部を形成し、そこに金属を付着する。過剰な
金属をハードマスクの上に付着しその後除去してもよ
い。最後に、上部ハードマスク層を除去する。
【0009】上部ハードマスク層の材料は、高融点金
属,高融点金属ナイトライド,高融点金属合金,あるい
は導電性Siベース材料(ドープトSiまたはドープト
アモルファスSiのような)とすることができ、好まし
くは、TaNのような高融点金属ナイトライドである。
中間ハードマスク層は好ましくはSiNである。過剰な
金属をCMPによって除去してもよく、上部ハードマス
ク層は研磨される際に過剰な金属より遅い研磨速度を有
する。
【0010】第一の開口部を形成するプロセスは、レジ
スト層を上部ハードマスク層の上に付着し、続いてそこ
からレジスト層を除去する工程を含んでもよく、中間ハ
ードマスク層は、レジスト層の除去の際の酸化から下部
ハードマスク層を保護するということを留意されたい。
【0011】本発明の第二の態様によれば、下部ハード
マスク層と上部ハードマスク層とを付着する方法が提供
される。保護層を、下部ハードマスク層の上部表面と隣
接する下部ハードマスク層の領域に形成する。この保護
層は、レジスト除去が行われる際の酸化から下部ハード
マスク層を保護する。上部表面領域に保護ナイトライド
層を形成するかまたは、上部表面領域において下部ハー
ドマスク層を高密度化するプラズマ処理に下部ハードマ
スク層をさらすことによって保護層を形成してもよい。
保護層は約100Åの厚さを有する。
【0012】本発明の他の態様によれば、下部ハードマ
スク層と上部ハードマスク層とを基板上に付着する方法
が提供される。第一のパターンに従って第一の開口部を
上部ハードマスク層に形成し、それによって下部ハード
マスク層の一部を露出させる。このプロセスは、レジス
ト層を上部ハードマスク層の上に付着し、続いてそこか
らレジスト層を除去する工程を含む。レジスト層を非酸
化レジスト・ストリップ・プロセスで除去し、下部ハー
ドマスク層の酸化を回避する。特に、還元剤(reducing
chemistry)を用いるプラズマ・レジスト・ストリップ
・プロセスでレジストを除去してもよい。
【0013】上部ハードマスク層はCMP停止層として
も機能し得る薄い犠牲層であるということ、そして(一
般的には低K材料から成る)下部ハードマスク層に対す
る酸化ダメージが回避されるということに注目された
い。
【0014】
【発明の実施の形態】本発明のハードマスク構造は、低
K誘電体ハードマスク層の上にあり、この層を保護する
薄い(約100Å〜約200Å)犠牲層を用いる。この
犠牲層は、金属配線パターンをエッチングするために有
効なマスクであり、金属CMPプロセスにおいて有効な
研磨停止層も提供する。
【0015】第一の実施の形態:3層ハードマスク 本実施の形態のハードマスクの構造を図5に示す。薄い
ハードマスク層20を、従来のハードマスクの酸化物層
13の代わりに用いる(図1を対比されたい)。そし
て、薄いハードマスク層20は、3層ハードマスク構造
の最上(上部)層である。下にある残留ハードマスク
は、層11と層12とを含む。中間層12は、一般的に
は、典型的なプロセス工程(例えば、レジスト・マスク
を用いるエッチング,レジスト・ストリップ(resist s
trip),湿式洗浄)の際に劣化しないという特性を有す
る誘電体材料から成る。この誘電体材料は、SiO2
SiN,SiON,SiOF,あるいは当業者に周知の
同種の材料とすることができる。底部(下部)層11
は、一般的には、SiC,SiC:H,オルガノシリケ
ート(例えばSiCOH),SiCNH,スピンオンシ
ルセスキオキサン(spin-on silsesquioxane),カーボ
ンドープトオキシド(carbon-doped oxide),オルガノ
シリケートガラス,シリコンオキシカーバイド(silico
n oxycarbide),アモルファス水素化シリコンカーバイ
ド(amorphous hydrogenated silicon carbide),アモ
ルファス水素化シリコンカーバイド/ナイトライド(am
orphous hydrogenated silicon carbide/nitride),あ
るいは同種の適切な材料のような低誘電率材料から成
る。この層は様々な典型的プロセス工程においてダメー
ジを受け、したがって保護層(この場合には、誘電体層
12)が必要とされるということが理解できる。特に、
低K層11を、層20の付着の際およびレジスト・スト
リップ・プロセスの際(例えばレジスト再構成の際)の
酸化から保護する必要がある。
【0016】層20は、少なくとも(i)層20の付着
が下側の層にダメージを与えない、特に、層10および
11の望ましい電気的性質を変質させない、および(i
i)層20の研磨速度が、CMPによって除去される過
剰な金属に比べて遅いという条件で、金属,金属化合物
もしくは金属合金,半導体,または誘電体とすることが
できる。層20は、好ましくは高融点金属(例えばT
a,Ti,W),高融点金属ナイトライド(例えばTa
N,TiN,WN),高融点金属合金(例えばTaSi
N,TiSiN,WSiN,TiW),導電性Siベー
ス材料(ドープトSiまたはドープト・アモルファスS
iのような),あるいは所定の他の金属(例えばCu,
Al,Ag)である。より好ましくは、層20は高融点
金属ナイトライドで形成される。特に、150ÅのTa
N層が層10および11の望ましい性質を維持しながら
良好なパターン忠実度をもたらすということが確認され
ている。
【0017】この実施の形態において、ILD層10
は、有機ポリアリレンエーテル熱硬化性誘電体で形成さ
れ、残留ハードマスク層11および12は、それぞれS
iCおよびSiNである。そして、層20はTaNで形
成される。層11および12は、化学蒸着法(CVD)
によって形成されてもよく、またはプラズマCVDによ
って形成されてもよい。層11は、また、スピンオン・
プロセスで付着されてもよい。層20は、物理蒸着法ま
たはCVDによって形成されてもよい。
【0018】図6〜12は、この実施の形態のハードマ
スクを用いるデュアル・ダマシン・プロセスにおける工
程を説明する。レジスト層21をハードマスクの上に付
着し、金属配線のパターンをそこに現像する。Cl2
たはCl2 /BCl3 化学物質(chemistry)を用いて
層20に開口部22をエッチングすることにより、配線
レベル・パターンをマスクへ転写する(図6)。次に、
当業者に周知の方法を用いて、レジスト層21をストリ
ップし、レジスト残留物を除去する。次に、第二のレジ
スト層23をマスクの上に付着し、バイア開口部24の
パターンをそこに現像する(図7)。酸化物層13と比
較して、層20は小平面の形成がはるかに少なく、導入
されるトポグラフィがはるかに少ない(図3と図7を対
比されたい)。
【0019】一続きのエッチング工程において、デュア
ル・ダマシン・パターンを残留ハードマスクへ最初に転
写し、次にILDへ転写する。層11および12をフル
オロカーボンベース化学物質を用いてエッチングする。
次に、O2 ,O3 ,SO2 ,SO3 ,N2 ,NH3 ,N
22 ,N24 ,H2 ,CO2 ,CO,CF4 ,CH
3 ,CH22 またはCH3 Fのうちの1またはそれ
以上を含む化学物質を用いて、ILD層10を部分的に
エッチングする。このエッチングの際レジスト層23も
また除去する(図8)。次に、追加のフルオロカーボン
ベース・エッチングを、マスクとして層20を用いて実
行し、金属配線パターンに従ってハードマスクの層11
および12に開口部を形成する(開口部22)。さらな
るエッチング工程は、先の部分的バイア・エッチングと
同種の化学物質を用いて、配線レベル・パターンをIL
Dに転写し、バイアの形成を完成させる(開口部2
4)。図9に示されるように、金属配線パターンを基板
の上部に転写し、バイア・パターンを基板の下部に転写
する。このエッチング工程は、バリア層1で停止し、バ
リア層1は典型的にはSiNまたはSiCで形成され
る。次に、フルオロカーボンベース・エッチングを用い
てバリア層に開口部を形成し、その結果バイアのメタラ
イゼーションが下のレベル100にコンタクトすること
となる。バイアの底部における開口部24の幅は、わず
か約100nmとすることができる。
【0020】メタライゼーション・プロセスは、好まし
くはライナ25の付着と、それに続くバイア内,金属配
線パターン内,そしてハードマスク上への金属26の付
着とを含む(図10)。次に、CMPプロセスを用いて
過剰な金属を研磨除去する。ライナ25のハードマスク
層20の上にある部分も、一般的には、同一のCMPプ
ロセスで除去する。特に、ライナ25がWであり金属2
6がCuである場合には、標準CMPプロセスは両者の
間で選択的ではないであろう。このような場合、層20
が研磨停止層として機能することが必要である(図1
1)。Cu用の典型的なCMPプロセスは、Wによって
停止することはないが、Ti,Ta,TiN,またはT
aNによって停止することとなる。したがって、この実
施の形態で説明するTaNの層は、有効な研磨停止層を
提供する。次に、層20を個別の研磨プロセスで除去す
る。この時点において、層12も除去して、ハードマス
ク構造のうちの低K層11だけが残留することが望まし
い(図12)。
【0021】この実施の形態のハードマスク構造は、図
1のハードマスク構造と比べて限界寸法(金属配線の幅
と間隔)制御の大いなる改善をもたらす。加えて、本実
施の形態のハードマスクは、有効な研磨停止層を提供
し、より信頼性の高いCMP金属除去プロセスの展開を
可能にする。
【0022】第二の実施の形態:2層ハードマスク 本実施の形態のハードマスクの構造を図13に示す。図
5〜12と図13〜20との間で重複する参照符号は同
一の構造を示す。第一の実施の形態におけるのと同様
に、薄い犠牲ハードマスク層20がハードマスクの最上
(上部)層を構成する。層20の材料は、高融点金属
(例えばTa,Ti,W),高融点金属ナイトライド
(例えばTaN,TiN,WN),高融点金属合金(例
えばTaSiN,TiSiN,WSiN,TiW),導
電性Siベース材料(ドープトSiまたはドープト・ア
モルファスSiのような),あるいは所定の他の金属
(例えばCu,Al,Ag)とすることができる。好ま
しい材料はTaNであり、約150Åの厚さを有する。
下側の層31は、第一の実施の形態の層11におけるの
と同様にSiCOHまたは同種の材料のような低K誘電
体であり、約500Åの厚さを有する。
【0023】本実施の形態においては、(ナイトライド
層12のような)中間ハードマスク層を排除する(図5
と13を対比されたい)。これは、(i)低K層31を
処理してこれをプロセスのダメージ(例えば、レジスト
・ストリップ・プロセスの際の酸化によるダメージ)に
強くする工程、および/または(ii)層31の露出した
表面を酸化させないレジスト・ストリップ・プロセスを
用いる工程によって成される。
【0024】層31を、CVDまたはプラズマCVDを
用いてILD層10の上に付着してもよい。この実施の
形態においては、500Åの厚さのSiCOHをプラズ
マCVDによって付着する。次に、層31の表面を、層
31の上部表面領域31t においてナイトライドを形成
させるプラズマ(例えば、NH3 またはナイトライドベ
ース・プラズマ)にさらす。代わりに、層31の表面
を、表面領域31t において層を高密度化するプラズマ
処理にさらしてもよく、あるいは層31を、領域31t
において材料の密度が増加するという条件のもとで付着
してもよい。上部表面領域31t は、約100Åの厚さ
を有する。
【0025】他の方法においては、後段で詳述するよう
な層31の表面を酸化させないレジスト・ストリップ・
プロセスを用いることにより、低K層31のプラズマ処
理または高密度化の必要性を回避できる。
【0026】続いて、上部ハードマスク層20を層31
の上に付着する。この実施の形態においては、150Å
の厚さのTaNを物理蒸着法(PVD)によって付着す
る。上述したように、層20の付着プロセスが層31の
性質を改質させず、層20の研磨速度が導電配線のため
に用いられる金属に比べて遅いという条件で、層20
を、金属,半導体または誘電体とすることができる。
【0027】図14〜20は、本実施の形態の2層ハー
ドマスクを用いるデュアル・ダマシン・プロセスにおけ
る工程を説明する図である。レジスト層21をハードマ
スクの上に付着する。次に、Cl2 またはCl2 /BC
3 化学物質を用いてハードマスク層20をパターニン
グして金属配線のパターンに従って開口部22を生じさ
せる配線レベル・パターニングを実行する(図14)。
【0028】続いて、非酸化プラズマ・プロセス,還元
プラズマ・プロセス,またはゆるい酸化プラズマ・プロ
セスを用いて、レジスト層21をストリップする。この
プロセスは、好ましくは還元剤を用いるプラズマ・プロ
セスであり、最も好ましくは、層31の露出表面の酸化
を防止するNH3 /H2 またはN2 /H2 プラズマ・プ
ロセスである。代わりに、アセトンベース酸性(aceton
e-based acidic)レジスト・ストリップのような選択的
溶媒ベース・レジスト・ストリップ・プロセスを用いて
もよい。
【0029】次に、レジスト層23を付着し、バイア開
口部24のパターンをそこに現像するバイアレベル・パ
ターニングを実行する(図15)。第一の実施の形態に
おけるのと同様に、配線レベル・パターンとバイアレベ
ル・パターンとをハードマスク層31およびILD層1
0へ転写する。バイア・パターンをSiCOH層31へ
エッチングする。次に、バイア・パターンをILD層1
0へ転写する部分的バイア・エッチングを実行する(図
16)。レジスト層23もこの部分的バイア・エッチン
グ工程の際に除去する。次に、マスクとして層20を用
いて追加のエッチングを実行し、金属配線パターンに従
って層31に開口部を形成する(こうして、マスク層3
1内に開口部22を作成する)。図17に示されるよう
に、先の部分的バイア・エッチングと同種の化学物質を
用いるさらなるエッチング工程は、配線レベル・パター
ンをILDの上部へ転写し、ILDの下部を突き抜ける
バイアの形成を完成する(開口部24)。
【0030】次に、メタライゼーション・プロセス(好
ましくは、ライナ25および金属26の付着を含む)を
実行し、図18に示される構造を得る。次に、第一の実
施の形態におけるのと同様に研磨停止層として機能する
層20を用いるCMPプロセスを使用して、過剰な金属
と露出したライナとを研磨除去する。過剰な金属を研磨
した後(図19)、層20を個別の研磨プロセスで除去
して図20に示される構造を得る。CMPによって層3
1の表面領域31t もまた除去することが望ましい。
【0031】この実施の形態において、(層31から構
成される)全残留ハードマスクが、ILD層10に加え
て低K材料であるということに注目されたい。
【0032】本実施の形態のハードマスクは、第一の実
施の形態の利点を全て提供し、これに加えて、従来のハ
ードマスク構造から1つの層を除くことにより、プロセ
スの複雑度の低減とプロセス時間の短縮化とを可能にす
る。
【0033】特定の実施の形態の点から本発明を説明し
たが、多くの選択,変更および変形が当業者に対して明
らかであることは、上述の説明にかんがみて明白であ
る。したがって、本発明および特許請求の範囲の趣旨お
よび範囲に含まれるこのような全ての選択,変更および
変形を包含することを本発明は意図している。
【0034】まとめとして、本発明の構成に関して以下
の事項を開示する。 (1)金属パターンを基板に形成する方法であって、前
記基板上に約4.5より低い誘電率を有する下部ハード
マスク層を付着する工程と、前記下部ハードマスク層の
上に中間ハードマスク層を付着する工程と、前記中間ハ
ードマスク層の上に約200Åより薄い厚さを有する上
部ハードマスク層を付着する工程と、第一のパターンに
従って前記上部ハードマスク層に第一の開口部を形成
し、それによって前記中間ハードマスク層の一部を露出
させる工程と、第二のパターンに従って前記中間ハード
マスク層の前記露出部分に第二の開口部を形成し、対応
する開口部を前記下部ハードマスク層に形成し、それに
よって前記基板の一部を露出させる工程と、前記基板に
開口部を形成する工程と、前記基板の開口部に金属を付
着する工程と、前記上部ハードマスク層を除去する工程
とを含む方法。 (2)前記上部ハードマスク層は、高融点金属,高融点
金属ナイトライド,高融点金属合金,ドープトアモルフ
ァスシリコンおよびドープトシリコンより構成されるグ
ループから選択された材料より成る上記(1)に記載の
方法。 (3)前記中間ハードマスク層は、SiO2 ,SiN,
SiONおよびSiOFより構成されるグループから選
択された材料より成る上記(1)に記載の方法。 (4)前記下部ハードマスク層は、SiC:H,SiC
OH,SiCNH,カーボンドープトオキシド,オルガ
ノシリケートガラス,シリコンオキシカーバイド,アモ
ルファス水素化シリコンカーバイドおよびアモルファス
水素化シリコンカーバイド/ナイトライドより構成され
るグループから選択された材料より成る上記(1)に記
載の方法。 (5)前記金属を付着する工程は、前記上部ハードマス
ク層の上に過剰な金属を付着する工程をさらに含み、前
記上部ハードマスク層の研磨速度を前記金属の研磨速度
より遅くして、化学機械研磨(CMP)プロセスで前記
金属を研磨することによって前記過剰な金属を除去する
工程をさらに含む上記(1)に記載の方法。 (6)前記第一の開口部を形成する工程は、前記上部ハ
ードマスク層の上にレジスト層を付着し、続いて前記上
部ハードマスク層から前記レジスト層を除去する工程を
含み、前記中間ハードマスク層は前記レジスト層の除去
の際の酸化から前記下部ハードマスク層を保護する上記
(1)に記載の方法。 (7)約4より低い誘電率を有する基板に金属パターン
を形成する方法であって、前記基板の上に約4.5より
低い誘電率を有する下部ハードマスク層を付着する工程
と、前記下部ハードマスク層の上部表面に隣接する下部
ハードマスク層の領域に保護層を形成する工程と、前記
下部ハードマスク層の上に約200Åより薄い厚さを有
する上部ハードマスク層を付着する工程と、第一のパタ
ーンに従って前記上部ハードマスク層に第一の開口部を
形成し、それによって前記下部ハードマスク層の一部を
露出させる工程と、第二のパターンに従って前記下部ハ
ードマスク層の前記露出部分に第二の開口部を形成し、
それによって前記基板の一部を露出させる工程と、前記
基板上に開口部を形成する工程と、前記基板の開口部に
金属を付着する工程と、前記上部ハードマスク層を除去
する工程とを含む方法。 (8)前記上部ハードマスク層の材料は、高融点金属,
高融点金属ナイトライド,高融点金属合金,ドープトア
モルファスシリコンおよびドープトシリコンより構成さ
れるグループから選択される上記(7)に記載の方法。 (9)前記下部ハードマスク層は、SiC:H,SiC
OH,SiCNH,カーボンドープトオキシド,オルガ
ノシリケートガラス,シリコンオキシカーバイド,アモ
ルファス水素化シリコンカーバイドおよびアモルファス
水素化シリコンカーバイド/ナイトライドより構成され
るグループから選択される材料より成る上記(7)に記
載の方法。 (10)前記金属を付着する工程は、前記上部ハードマ
スク層の上に過剰な金属を付着する工程をさらに含み、
前記上部ハードマスク層の研磨速度を前記金属の研磨速
度より遅くして、化学機械研磨(CMP)プロセスで前
記金属を研磨することによって前記過剰な金属を除去す
る工程をさらに含む上記(7)に記載の方法。 (11)前記第一の開口部を形成する工程は、前記上部
ハードマスク層の上にレジスト層を付着し、続いて前記
上部ハードマスク層から前記レジスト層を除去する工程
を含み、前記保護層を形成する工程は、前記下部ハード
マスク層をプラズマにさらし、それによって前記領域
に、前記レジスト層の除去の際の酸化から前記下部ハー
ドマスク層を保護する保護ナイトライド層を形成する工
程を含む上記(7)に記載の方法。 (12)前記第一の開口部を形成する工程は、前記上部
ハードマスク層の上にレジスト層を付着し、続いて前記
上部ハードマスク層から前記レジスト層を除去する工程
を含み、前記保護層を形成する工程は、前記領域におい
て前記下部ハードマスク層を高密度化するプラズマに前
記下部ハードマスク層をさらす工程を含み、前記保護層
が前記レジスト層の除去の際の酸化から前記下部ハード
マスク層を保護する上記(7)に記載の方法。 (13)前記下部ハードマスク層の上部表面に隣接する
領域において前記下部ハードマスク層の材料の密度が増
加するという条件のもとで前記下部ハードマスク層を付
着する上記(7)に記載の方法。 (14)前記第一の開口部を形成する工程は、前記上部
ハードマスク層の上にレジスト層を付着し、続いて前記
上部ハードマスク層から前記レジスト層を除去する工程
を含み、前記レジスト層は非酸化レジスト・ストリップ
・プロセスで除去される上記(7)に記載の方法。 (15)前記保護層は約100Åの厚さを有する上記
(7)に記載の方法。 (16)約4より低い誘電率を有する基板に金属パター
ンを形成する方法であって、前記基板上に約4.5より
低い誘電率を有する下部ハードマスク層を付着する工程
と、前記下部ハードマスク層の上に約200Åより薄い
厚さを有する上部ハードマスク層を付着する工程と、第
一のパターンに従って前記上部ハードマスク層に第一の
開口部を形成し、それによって前記下部ハードマスク層
の一部を露出させる工程と、第二のパターンに従って前
記下部ハードマスク層の前記露出部分に第二の開口部を
形成し、それによって前記基板の一部を露出させる工程
と、前記基板に開口部を形成する工程と、前記基板の開
口部に金属を付着する工程と、前記上部ハードマスク層
を除去する工程とを含み、前記第一の開口部を形成する
工程は、前記上部ハードマスク層の上にレジスト層を付
着し、続いて前記上部ハードマスク層から前記レジスト
層を除去する工程をさらに含み、前記レジスト層は非酸
化レジスト・ストリップ・プロセスで除去される方法。 (17)前記上部ハードマスク層の材料は、高融点金
属,高融点金属ナイトライド,高融点金属合金,ドープ
トアモルファスシリコンおよびドープトシリコンより構
成されるグループから選択される上記(16)に記載の
方法。 (18)前記下部ハードマスク層は、SiC:H,Si
COH,SiCNH,カーボンドープトオキシド,オル
ガノシリケートガラス,シリコンオキシカーバイド,ア
モルファス水素化シリコンカーバイドおよびアモルファ
ス水素化シリコンカーバイド/ナイトライドより構成さ
れるグループから選択される材料より成る上記(16)
に記載の方法。 (19)前記金属を付着する工程は、前記上部ハードマ
スク層の上に過剰な金属を付着する工程をさらに含み、
前記上部ハードマスク層の研磨速度を前記金属の研磨速
度より遅くして、化学機械研磨(CMP)プロセスで前
記金属を研磨することによって前記過剰な金属を除去す
る工程をさらに含む上記(16)に記載の方法。 (20)前記レジスト・ストリップ・プロセスは、還元
剤を用いるプラズマ・プロセスである上記(16)に記
載の方法。
【図面の簡単な説明】
【図1】デュアル・ダマシン・プロセスにおいて用いら
れる典型的なハードマスク構造の概略図である。
【図2】図1のハードマスクを用いる際に直面するプロ
セスの問題のいくつかを説明する図である。
【図3】図1のハードマスクを用いる際に直面するプロ
セスの問題のいくつかを説明する図である。
【図4】図1のハードマスクを用いる際に直面するプロ
セスの問題のいくつかを説明する図である。
【図5】本発明の第一の実施の形態に従って、3層ハー
ドマスクを用いるデュアル・ダマシン・プロセスを説明
する図である。
【図6】本発明の第一の実施の形態に従って、3層ハー
ドマスクを用いるデュアル・ダマシン・プロセスを説明
する図である。
【図7】本発明の第一の実施の形態に従って、3層ハー
ドマスクを用いるデュアル・ダマシン・プロセスを説明
する図である。
【図8】本発明の第一の実施の形態に従って、3層ハー
ドマスクを用いるデュアル・ダマシン・プロセスを説明
する図である。
【図9】本発明の第一の実施の形態に従って、3層ハー
ドマスクを用いるデュアル・ダマシン・プロセスを説明
する図である。
【図10】本発明の第一の実施の形態に従って、3層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図11】本発明の第一の実施の形態に従って、3層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図12】本発明の第一の実施の形態に従って、3層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図13】本発明の第二の実施の形態に従って、2層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図14】本発明の第二の実施の形態に従って、2層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図15】本発明の第二の実施の形態に従って、2層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図16】本発明の第二の実施の形態に従って、2層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図17】本発明の第二の実施の形態に従って、2層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図18】本発明の第二の実施の形態に従って、2層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図19】本発明の第二の実施の形態に従って、2層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【図20】本発明の第二の実施の形態に従って、2層ハ
ードマスクを用いるデュアル・ダマシン・プロセスを説
明する図である。
【符号の説明】
1 バリア層 2 露出領域 3 小平面 4 バイア開口部 10 ILD層 11 ハードマスク層 12 ハードマスク層 13 ハードマスク層 14 レジスト層 15 ライナ 16 金属 20 ハードマスク層 21 レジスト層 22 開口部 23 第二のレジスト層 24 バイア開口部 25 ライナ 26 金属 31 ハードマスク層 31t 上部表面領域 100 レベル
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ティモシー・ジェイ・ダルトン アメリカ合衆国 06877 コネティカット 州 リッジフィールド サラ ビショップ ロード 72 (72)発明者 ミナクシサンダラン・ビー・アナンド アメリカ合衆国 20874 メリーランド州 ジャーマンタウン サークル ゲイド ディーアール #102 19264 (72)発明者 マイケル・ディー・アーマコスト アメリカ合衆国 95120 カリフォルニア 州 サンノゼ スプリングパス レーン 6577 (72)発明者 シャイング−ソング・チェン アメリカ合衆国 12563 ニューヨーク州 パターソン コーンウォール メドウズ 111 (72)発明者 ステファン・エム・ゲイツ アメリカ合衆国 10562 ニューヨーク州 オッシニング イニングウッド ロード 22 (72)発明者 ステファン・イー・グレコ アメリカ合衆国 12540 ニューヨーク州 ラグランジュヴィル ハーデン ドライ ブ 77 (72)発明者 サイモン・エム・カレッキ アメリカ合衆国 11222 ニューヨーク州 ブルックリン エイピーティー3エル モニター ストリート 152 (72)発明者 サチャナラヤーナ・ブイ・ニッタ アメリカ合衆国 12570 ニューヨーク州 ポウクエイグ ルーズベルト ドライブ 118 Fターム(参考) 5F033 HH11 HH18 HH19 HH21 HH32 HH33 JJ11 JJ18 JJ19 JJ21 JJ32 JJ33 MM02 MM12 MM13 NN06 NN07 PP06 QQ09 QQ11 QQ12 QQ27 QQ28 QQ29 QQ30 QQ48 QQ49 QQ90 RR01 RR04 RR06 RR21 RR25 SS11 SS21 TT01 XX24

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】金属パターンを基板に形成する方法であっ
    て、 前記基板上に約4.5より低い誘電率を有する下部ハー
    ドマスク層を付着する工程と、 前記下部ハードマスク層の上に中間ハードマスク層を付
    着する工程と、 前記中間ハードマスク層の上に約200Åより薄い厚さ
    を有する上部ハードマスク層を付着する工程と、 第一のパターンに従って前記上部ハードマスク層に第一
    の開口部を形成し、それによって前記中間ハードマスク
    層の一部を露出させる工程と、 第二のパターンに従って前記中間ハードマスク層の前記
    露出部分に第二の開口部を形成し、対応する開口部を前
    記下部ハードマスク層に形成し、それによって前記基板
    の一部を露出させる工程と、 前記基板に開口部を形成する工程と、 前記基板の開口部に金属を付着する工程と、 前記上部ハードマスク層を除去する工程とを含む方法。
  2. 【請求項2】前記上部ハードマスク層は、高融点金属,
    高融点金属ナイトライド,高融点金属合金,ドープトア
    モルファスシリコンおよびドープトシリコンより構成さ
    れるグループから選択された材料より成る請求項1に記
    載の方法。
  3. 【請求項3】前記中間ハードマスク層は、SiO2 ,S
    iN,SiONおよびSiOFより構成されるグループ
    から選択された材料より成る請求項1に記載の方法。
  4. 【請求項4】前記下部ハードマスク層は、SiC:H,
    SiCOH,SiCNH,カーボンドープトオキシド,
    オルガノシリケートガラス,シリコンオキシカーバイ
    ド,アモルファス水素化シリコンカーバイドおよびアモ
    ルファス水素化シリコンカーバイド/ナイトライドより
    構成されるグループから選択された材料より成る請求項
    1に記載の方法。
  5. 【請求項5】前記金属を付着する工程は、前記上部ハー
    ドマスク層の上に過剰な金属を付着する工程をさらに含
    み、 前記上部ハードマスク層の研磨速度を前記金属の研磨速
    度より遅くして、化学機械研磨(CMP)プロセスで前
    記金属を研磨することによって前記過剰な金属を除去す
    る工程をさらに含む請求項1に記載の方法。
  6. 【請求項6】前記第一の開口部を形成する工程は、前記
    上部ハードマスク層の上にレジスト層を付着し、続いて
    前記上部ハードマスク層から前記レジスト層を除去する
    工程を含み、 前記中間ハードマスク層は前記レジスト層の除去の際の
    酸化から前記下部ハードマスク層を保護する請求項1に
    記載の方法。
  7. 【請求項7】約4より低い誘電率を有する基板に金属パ
    ターンを形成する方法であって、 前記基板の上に約4.5より低い誘電率を有する下部ハ
    ードマスク層を付着する工程と、 前記下部ハードマスク層の上部表面に隣接する下部ハー
    ドマスク層の領域に保護層を形成する工程と、 前記下部ハードマスク層の上に約200Åより薄い厚さ
    を有する上部ハードマスク層を付着する工程と、 第一のパターンに従って前記上部ハードマスク層に第一
    の開口部を形成し、それによって前記下部ハードマスク
    層の一部を露出させる工程と、 第二のパターンに従って前記下部ハードマスク層の前記
    露出部分に第二の開口部を形成し、それによって前記基
    板の一部を露出させる工程と、 前記基板上に開口部を形成する工程と、 前記基板の開口部に金属を付着する工程と、 前記上部ハードマスク層を除去する工程とを含む方法。
  8. 【請求項8】前記上部ハードマスク層の材料は、高融点
    金属,高融点金属ナイトライド,高融点金属合金,ドー
    プトアモルファスシリコンおよびドープトシリコンより
    構成されるグループから選択される請求項7に記載の方
    法。
  9. 【請求項9】前記下部ハードマスク層は、SiC:H,
    SiCOH,SiCNH,カーボンドープトオキシド,
    オルガノシリケートガラス,シリコンオキシカーバイ
    ド,アモルファス水素化シリコンカーバイドおよびアモ
    ルファス水素化シリコンカーバイド/ナイトライドより
    構成されるグループから選択される材料より成る請求項
    7に記載の方法。
  10. 【請求項10】前記金属を付着する工程は、前記上部ハ
    ードマスク層の上に過剰な金属を付着する工程をさらに
    含み、 前記上部ハードマスク層の研磨速度を前記金属の研磨速
    度より遅くして、化学機械研磨(CMP)プロセスで前
    記金属を研磨することによって前記過剰な金属を除去す
    る工程をさらに含む請求項7に記載の方法。
  11. 【請求項11】前記第一の開口部を形成する工程は、前
    記上部ハードマスク層の上にレジスト層を付着し、続い
    て前記上部ハードマスク層から前記レジスト層を除去す
    る工程を含み、 前記保護層を形成する工程は、前記下部ハードマスク層
    をプラズマにさらし、それによって前記領域に、前記レ
    ジスト層の除去の際の酸化から前記下部ハードマスク層
    を保護する保護ナイトライド層を形成する工程を含む請
    求項7に記載の方法。
  12. 【請求項12】前記第一の開口部を形成する工程は、前
    記上部ハードマスク層の上にレジスト層を付着し、続い
    て前記上部ハードマスク層から前記レジスト層を除去す
    る工程を含み、 前記保護層を形成する工程は、前記領域において前記下
    部ハードマスク層を高密度化するプラズマに前記下部ハ
    ードマスク層をさらす工程を含み、前記保護層が前記レ
    ジスト層の除去の際の酸化から前記下部ハードマスク層
    を保護する請求項7に記載の方法。
  13. 【請求項13】前記下部ハードマスク層の上部表面に隣
    接する領域において前記下部ハードマスク層の材料の密
    度が増加するという条件のもとで前記下部ハードマスク
    層を付着する請求項7に記載の方法。
  14. 【請求項14】前記第一の開口部を形成する工程は、前
    記上部ハードマスク層の上にレジスト層を付着し、続い
    て前記上部ハードマスク層から前記レジスト層を除去す
    る工程を含み、 前記レジスト層は非酸化レジスト・ストリップ・プロセ
    スで除去される請求項7に記載の方法。
  15. 【請求項15】前記保護層は約100Åの厚さを有する
    請求項7に記載の方法。
  16. 【請求項16】約4より低い誘電率を有する基板に金属
    パターンを形成する方法であって、 前記基板上に約4.5より低い誘電率を有する下部ハー
    ドマスク層を付着する工程と、 前記下部ハードマスク層の上に約200Åより薄い厚さ
    を有する上部ハードマスク層を付着する工程と、 第一のパターンに従って前記上部ハードマスク層に第一
    の開口部を形成し、それによって前記下部ハードマスク
    層の一部を露出させる工程と、 第二のパターンに従って前記下部ハードマスク層の前記
    露出部分に第二の開口部を形成し、それによって前記基
    板の一部を露出させる工程と、 前記基板に開口部を形成する工程と、 前記基板の開口部に金属を付着する工程と、 前記上部ハードマスク層を除去する工程とを含み、 前記第一の開口部を形成する工程は、前記上部ハードマ
    スク層の上にレジスト層を付着し、続いて前記上部ハー
    ドマスク層から前記レジスト層を除去する工程をさらに
    含み、 前記レジスト層は非酸化レジスト・ストリップ・プロセ
    スで除去される方法。
  17. 【請求項17】前記上部ハードマスク層の材料は、高融
    点金属,高融点金属ナイトライド,高融点金属合金,ド
    ープトアモルファスシリコンおよびドープトシリコンよ
    り構成されるグループから選択される請求項16に記載
    の方法。
  18. 【請求項18】前記下部ハードマスク層は、SiC:
    H,SiCOH,SiCNH,カーボンドープトオキシ
    ド,オルガノシリケートガラス,シリコンオキシカーバ
    イド,アモルファス水素化シリコンカーバイドおよびア
    モルファス水素化シリコンカーバイド/ナイトライドよ
    り構成されるグループから選択される材料より成る請求
    項16に記載の方法。
  19. 【請求項19】前記金属を付着する工程は、前記上部ハ
    ードマスク層の上に過剰な金属を付着する工程をさらに
    含み、 前記上部ハードマスク層の研磨速度を前記金属の研磨速
    度より遅くして、化学機械研磨(CMP)プロセスで前
    記金属を研磨することによって前記過剰な金属を除去す
    る工程をさらに含む請求項16に記載の方法。
  20. 【請求項20】前記レジスト・ストリップ・プロセス
    は、還元剤を用いるプラズマ・プロセスである請求項1
    6に記載の方法。
JP2003003569A 2002-01-17 2003-01-09 犠牲ハードマスクを用いて金属パターンを形成する方法 Expired - Fee Related JP4169150B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/053,288 US6734096B2 (en) 2002-01-17 2002-01-17 Fine-pitch device lithography using a sacrificial hardmask
US10/053288 2002-01-17

Publications (2)

Publication Number Publication Date
JP2003218109A true JP2003218109A (ja) 2003-07-31
JP4169150B2 JP4169150B2 (ja) 2008-10-22

Family

ID=21983171

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003003569A Expired - Fee Related JP4169150B2 (ja) 2002-01-17 2003-01-09 犠牲ハードマスクを用いて金属パターンを形成する方法

Country Status (2)

Country Link
US (1) US6734096B2 (ja)
JP (1) JP4169150B2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175432A (ja) * 2003-09-17 2005-06-30 Rohm & Haas Electronic Materials Cmp Holdings Inc 半導体ウェーハ用研磨組成物
JP2005217223A (ja) * 2004-01-30 2005-08-11 Sony Corp 半導体装置の製造方法
US6949830B2 (en) 2002-10-30 2005-09-27 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP2007081113A (ja) * 2005-09-14 2007-03-29 Sony Corp 半導体装置の製造方法
JP2008010453A (ja) * 2006-06-27 2008-01-17 Fujifilm Corp 半導体装置の製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
WO2009040670A2 (en) * 2007-09-26 2009-04-02 Tokyo Electron Limited Semiconductor device and manufacturing method therefor

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6740579B2 (en) * 2002-06-18 2004-05-25 Intel Corporation Method of making a semiconductor device that includes a dual damascene interconnect
US6930048B1 (en) * 2002-09-18 2005-08-16 Lam Research Corporation Etching a metal hard mask for an integrated circuit structure
US6969685B1 (en) * 2002-09-18 2005-11-29 Lam Research Corporation Etching a dielectric layer in an integrated circuit structure having a metal hard mask layer
US6838372B2 (en) * 2002-09-25 2005-01-04 Cookson Electronics, Inc. Via interconnect forming process and electronic component product thereof
US7825516B2 (en) * 2002-12-11 2010-11-02 International Business Machines Corporation Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
JP4170165B2 (ja) * 2003-06-30 2008-10-22 Tdk株式会社 反応性イオンエッチング用のマスク材料、マスク及びドライエッチング方法
JPWO2005013356A1 (ja) * 2003-07-18 2007-09-27 日本電気株式会社 溝配線を有する半導体装置および半導体装置の製造方法
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
KR100593737B1 (ko) * 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
US7273824B2 (en) * 2004-07-08 2007-09-25 United Microelectronics Corp. Semiconductor structure and fabrication therefor
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
CN100403495C (zh) * 2004-08-30 2008-07-16 联华电子股份有限公司 半导体制造方法及其结构
US7417254B2 (en) * 2005-01-14 2008-08-26 Au Optronics Corp. Switching device for a pixel electrode and methods for fabricating the same
DE602006012327D1 (de) 2005-07-01 2010-04-01 Leuven K U Res & Dev Mittel zur funktionalen wiederherstellung eines geschädigten nervensystems
US7394154B2 (en) * 2005-09-13 2008-07-01 International Business Machines Corporation Embedded barrier for dielectric encapsulation
US7435676B2 (en) * 2006-01-10 2008-10-14 International Business Machines Corporation Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
KR100880312B1 (ko) * 2006-07-25 2009-01-28 주식회사 하이닉스반도체 반도체 메모리 소자의 금속 배선 형성 방법
WO2009059260A1 (en) * 2007-11-02 2009-05-07 Yaupon Therapeutics, Inc. Use of lobeline epimers in the treatment of central nervous system diseases, pathologies, and drug abuse
US8674484B2 (en) * 2008-12-30 2014-03-18 Intel Corporation Dielectric separator layer
US8637403B2 (en) 2011-12-12 2014-01-28 International Business Machines Corporation Locally tailoring chemical mechanical polishing (CMP) polish rate for dielectrics
CN105990315B (zh) * 2015-01-27 2019-01-29 中芯国际集成电路制造(上海)有限公司 金属互连结构及其制作方法
US10546756B2 (en) * 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches
US10586801B2 (en) 2018-01-12 2020-03-10 Intel Corporation Flash memory cells
KR20220028703A (ko) * 2020-08-31 2022-03-08 삼성전자주식회사 반도체 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000003913A (ja) * 1998-03-26 2000-01-07 Matsushita Electric Ind Co Ltd 配線構造体の形成方法
JP2000150519A (ja) * 1998-08-31 2000-05-30 Fujitsu Ltd 半導体装置の製造方法
JP2001044189A (ja) * 1999-03-15 2001-02-16 Sony Corp 半導体装置の製造方法
JP2001358218A (ja) * 2000-04-13 2001-12-26 Canon Inc 有機膜のエッチング方法及び素子の製造方法
JP2003179136A (ja) * 2001-09-28 2003-06-27 Agere Systems Inc デュアルダマシン半導体製造のためのマスク層及び相互接続構造

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1092791A (ja) 1996-09-10 1998-04-10 Oki Electric Ind Co Ltd パターン形成方法
US6066569A (en) 1997-09-30 2000-05-23 Siemens Aktiengesellschaft Dual damascene process for metal layers and organic intermetal layers
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6019906A (en) 1998-05-29 2000-02-01 Taiwan Semiconductor Manufacturing Company Hard masking method for forming patterned oxygen containing plasma etchable layer
US6162587A (en) 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6165695A (en) 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6121150A (en) 1999-04-22 2000-09-19 Advanced Micro Devices, Inc. Sputter-resistant hardmask for damascene trench/via formation
US20020016797A1 (en) * 2000-06-06 2002-02-07 Seda Taysi Network based interviewing and processing system
JP5350571B2 (ja) * 2000-08-21 2013-11-27 ダウ グローバル テクノロジーズ エルエルシー マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
US6537908B2 (en) * 2001-02-28 2003-03-25 International Business Machines Corporation Method for dual-damascence patterning of low-k interconnects using spin-on distributed hardmask
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000003913A (ja) * 1998-03-26 2000-01-07 Matsushita Electric Ind Co Ltd 配線構造体の形成方法
JP2000150519A (ja) * 1998-08-31 2000-05-30 Fujitsu Ltd 半導体装置の製造方法
JP2001044189A (ja) * 1999-03-15 2001-02-16 Sony Corp 半導体装置の製造方法
JP2001358218A (ja) * 2000-04-13 2001-12-26 Canon Inc 有機膜のエッチング方法及び素子の製造方法
JP2003179136A (ja) * 2001-09-28 2003-06-27 Agere Systems Inc デュアルダマシン半導体製造のためのマスク層及び相互接続構造

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949830B2 (en) 2002-10-30 2005-09-27 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US8349722B2 (en) 2002-10-30 2013-01-08 Fujitsu Semiconductor Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US8778814B2 (en) 2002-10-30 2014-07-15 Fujitsu Semiconductor Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP2005175432A (ja) * 2003-09-17 2005-06-30 Rohm & Haas Electronic Materials Cmp Holdings Inc 半導体ウェーハ用研磨組成物
JP2005217223A (ja) * 2004-01-30 2005-08-11 Sony Corp 半導体装置の製造方法
JP2007081113A (ja) * 2005-09-14 2007-03-29 Sony Corp 半導体装置の製造方法
JP2008010453A (ja) * 2006-06-27 2008-01-17 Fujifilm Corp 半導体装置の製造方法
WO2009040670A2 (en) * 2007-09-26 2009-04-02 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
WO2009040670A3 (en) * 2007-09-26 2009-10-15 Tokyo Electron Limited Semiconductor device and manufacturing method therefor

Also Published As

Publication number Publication date
JP4169150B2 (ja) 2008-10-22
US20030134505A1 (en) 2003-07-17
US6734096B2 (en) 2004-05-11

Similar Documents

Publication Publication Date Title
JP4169150B2 (ja) 犠牲ハードマスクを用いて金属パターンを形成する方法
US6245663B1 (en) IC interconnect structures and methods for making same
US6627539B1 (en) Method of forming dual-damascene interconnect structures employing low-k dielectric materials
KR0134120B1 (ko) 반도체 디바이스
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
KR100810788B1 (ko) 듀얼 다마신 구조의 에칭 방법
US8119519B2 (en) Semiconductor device manufacturing method
KR20110001894A (ko) 비아 가우징 구성요소를 갖는 인터커넥트 구조 및 그 제조방법
JP2009135518A (ja) 相互接続の製造方法
US6930033B2 (en) Treating surface of low-dielectric constant material to achieve good mechanical strength
JP2009111429A (ja) 相互接続を作製するための方法
US20080171442A1 (en) Metal interconnect structure and process for forming same
JP2003100724A (ja) 誘電体エッチング用アルミニウムハードマスク
US6627540B2 (en) Method for forming dual damascene structure in semiconductor device
JP2003179136A (ja) デュアルダマシン半導体製造のためのマスク層及び相互接続構造
US7618887B2 (en) Semiconductor device with a metal line and method of forming the same
US6900123B2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US7247565B2 (en) Methods for fabricating a copper interconnect
US20030219968A1 (en) Sacrificial inlay process for improved integration of porous interlevel dielectrics
US6548901B1 (en) Cu/low-k BEOL with nonconcurrent hybrid dielectric interface
US8053359B2 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US20030186534A1 (en) Method for manufacturing semiconductor device using dual-damascene techniques
US20020127849A1 (en) Method of manufacturing dual damascene structure
US20020180052A1 (en) Polish or etch stop layer
JP4207113B2 (ja) 配線構造の形成方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060919

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20061006

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20061006

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080529

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080718

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20080723

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080730

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110815

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120815

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130815

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees