JP2002543586A - 反射防止コーティング及びそれに関連する方法 - Google Patents

反射防止コーティング及びそれに関連する方法

Info

Publication number
JP2002543586A
JP2002543586A JP2000614488A JP2000614488A JP2002543586A JP 2002543586 A JP2002543586 A JP 2002543586A JP 2000614488 A JP2000614488 A JP 2000614488A JP 2000614488 A JP2000614488 A JP 2000614488A JP 2002543586 A JP2002543586 A JP 2002543586A
Authority
JP
Japan
Prior art keywords
coating material
material layer
reflective coating
exposed
etchant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000614488A
Other languages
English (en)
Other versions
JP4397126B2 (ja
Inventor
ホルシャー,リチャード
イン,チピン
Original Assignee
マイクロン テクノロジー,インコーポレイティド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー,インコーポレイティド filed Critical マイクロン テクノロジー,インコーポレイティド
Publication of JP2002543586A publication Critical patent/JP2002543586A/ja
Application granted granted Critical
Publication of JP4397126B2 publication Critical patent/JP4397126B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 集積回路の製作における反射防止コーティング材層の形成法は、表面を有する基板アセンブリを与える工程、およびこの基板アセンブリ表面上に無機の反射防止コーティング材層を与える工程を含む。この無機の反射防止コーティング材層は、エッチング液に晒された場合に第一の関連エッチング速度を有する。この方法は更に、その上に形成された無機の反射防止コーティング材層を熱処理する工程を含み、その結果熱処理された反射防止コーティング材層は、エッチング液に晒された場合に、第一のエッチング速度よりも小さい第二の関連エッチング速度を有し、例えば、第二のエッチング速度は約16Å/分未満であるか、第二のエッチング速度は第一のエッチング速度の約20%未満である。

Description

【発明の詳細な説明】
【0001】 (発明の技術分野) 本発明は、集積回路の製作に関する。より詳細には、本発明は、このような製
作において使用される反射防止層に関する。
【0002】 (発明の背景) 集積回路(IC)の製作における重要な過程のひとつは、フォトリソグラフィ
である。一般にフォトリソグラフィは、下側にある半導体基板アセンブリ層によ
り支持されているフォトレジスト層におけるマスクからの画像の再生に関する。
フォトリソグラフィは非常に複雑であり、かつIC製作において重要な過程であ
る。フォトレジスト層に正確な画像を再生する能力は、デバイス密度を増加する
ような要求に合致するために重要である。
【0003】 フォトリソグラフィ過程において、最初に光学的マスクが、光源と半導体基板
アセンブリの下側層の上のフォトレジスト層の間に配置される。光源は、例えば
、可視光又は紫外線照射であることができる。次に、フォトレジストを光学的マ
スクを通して照射に露光することによって、画像が再生される。マスクの一部は
、不透明層、例えば下側フォトレジストの露光を妨げるクロムなどを含有してい
る。マスクの残余部分は透明であり、下側フォトレジストの露光を可能にする。
【0004】 このフォトレジスト層の下側の層は、一般に、パターン化される1個以上の個
別の層を含む。すなわち、層がパターン化されると、該層の材料が選択的に除去
される。層および材料をパターン化する能力は、ICの製作を可能にする。別の
表現をすると、パターン化された層は、ICの個々のデバイスの構成単位として
使用される。使用されたフォトレジストの種類に応じて(例えば、ポジ型又はネ
ガ型フォトレジスト)、露光されたフォトレジストは、基板が現像液と接触して
いる間に除去されるか、もしくは、露光されたフォトレジストは、現像液への溶
解に対してより抵抗性となるかのいずれかである。このようにして、パターン化
されたフォトレジスト層が下側層の上に形成される。
【0005】 従来の光学的フォトリソグラフィで経験される問題点のひとつは、マスクの透
明部分の下側にあるフォトレジストの均一な露光を得ることが困難であるという
ことである。フォトレジストを露光している光度が、最適な結果を得るように均
一であることが望ましい。
【0006】 十分な厚さのフォトレジスト層が使用される場合、該フォトレジストは、部分
的に透明であるか、又は部分的に透明となり、その結果下側層の表面のフォトレ
ジストは、外側表面でのフォトレジストと実質的に同程度露光される。しかし、
フォトレジストを透過する光は、 基板アセンブリの下側層の表面から光源に向
かって反射されることが多い。光が反射される角度は、少なくともある程度は該
下側層の表面のトポグラフィーおよび下側層の材料の種類によって決まる。反射
光濃度は、フォトレジストにおいて、その深度全てにわたって又はその深度の一
部にわたって変動することができ、これがフォトレジストの均一でない露光およ
び望ましくない露光につながる。このようなフォトレジストの露光は、ICの特
徴(例えば、ゲート、金属配線など)の制御不良につながる。
【0007】 フォトレジスト層において反射率を抑える試み、又は別の表現をすると光の可
変反射を最小化する試みにおいて、反射防止コーティング、すなわち反射防止層
が、基板アセンブリの下側層とフォトレジスト層の間、もしくはフォトレジスト
層と光源の間で使用されている。このような反射防止コーティングは、下側の基
板アセンブリからの反射率を抑制し、光源からのフォトレジスト上への照射入射
からのフォトレジスト層を通った露光をより容易に制御できるようにする。
【0008】 反射防止コーティングは、有機物で形成することができる。しかし有機層は、
フォトリソグラフィ工程が実行された後の下側層からの有機物の除去が不完全で
あるために、集積回路(IC)中の粒子混入につながる。このような粒子混入は
、ICの電気性能を劣化する可能性がある。さらに、その上に有機物が形成され
た下側層は平坦でないことがあり、このことは反射防止コーティングとして使用
された有機物の異なる厚さを生じ、例えば有機物のより厚い領域が下側層の様々
な位置に存在するようになる。このように、このような有機物を除去する試みに
おいて、下側層に到達した時点でエッチングを停止した場合、一部の有機物が残
留することがある。エッチングがこのような領域又は位置の余分な厚さをエッチ
ングするために進められる場合は、下側層は望ましくないようにエッチングされ
ることがある。
【0009】 さらに無機の反射防止層も、フォトリソグラフィ過程において反射率を抑制す
るために導入されている。例えば、ケイ素が豊富な二酸化ケイ素、ケイ素が豊富
な窒化物、およびケイ素が豊富な酸窒化物が、金属配線およびゲートのパターン
化などにおいて、無機の反射防止層として使用されている。
【0010】 典型的にはパターン化されたフォトレジスト層が基板アセンブリ上に形成され
た後、ICの製作において多くの他の工程が実施される。例えばフォトレジスト
は、打ちこみ工程時の打ちこみ障壁として作用することができるか、フォトレジ
ストは、基板アセンブリの1個以上の下側層をエッチングする範囲(例えば、コ
ンタクトホール)の外側境界線を定義するために使用することができるか、もし
くは、フォトレジストは、いずれか他の典型的に使用される製作法において使用
される。このような場合の多くにおいて、フォトレジストは、エッチング過程に
おける障壁として作用し、その結果1個以上の下側基板アセンブリ層の選択され
た材料のみが除去される。
【0011】 フォトリソグラフィ技術に関連した方法(例えば、打ちこみ、エッチングなど
)が実施された後、多くの状況において、フォトリソグラフィ過程において使用
されたフォトレジスト材が除去されなければならないのみならず、反射防止コー
ティングも除去されるであろう。例えば、多くの場合において、接点開口を定め
るために使用されるフォトレジストおよび反射防止コーティングは、該構造のそ
の後の処理の前に除去される必要がある。しかし多くの他の状況において、フォ
トリソグラフィ工程において使用されたフォトレジスト材が除去された後にも、
反射防止コーティングが依然後の処理工程に必要である。従って、反射防止コー
ティングは除去されない。例えば、反射防止コーティングは、その後の溶着され
た配線の寸法安定性を増大するためにトポグラフィーレベルまで使用することが
できる。さらに、引き続きの処理工程における反射防止コーティングも、自己並
置(self−aligned)された接触エッチング法又は他の硬質マスクエ
ッチング技術などのための、エッチング停止層としての反射防止コーティングの
使用を含むことができる。
【0012】 一般に、フォトリソグラフィ処理に使用される反射防止コーティングは、湿式
エッチング液を用いて容易に除去することができる。このような反射防止コーテ
ィングの除去は、反射防止コーティングの下側層のエッチング時にも除去され、
かつフォトリソグラフィ処理時にフォトレジストをパターン化することができる
。このような容易な反射防止コーティングの除去が常に望ましいわけではない。
【0013】 (発明の概要) 層をその後の処理工程において使用することができるように容易に除去されな
いような、フォトリソグラフィ処理において使用される無機の反射防止コーティ
ング材料が必要である。本発明の反射防止コーティング材層は、従来使用される
無機の反射防止コーティングに対して低下した湿式エッチング速度を有する。本
願明細書に記されたように、無機の反射防止コーティング材層のエッチング速度
は、例えば該無機の反射防止コーティング材層のアニーリング等の熱処理の機能
として、望ましいレベルへと低下される。
【0014】 本発明の集積回路の製作における反射防止コーティング材層の形成法は、表面
を有する基板アセンブリを与える工程および基板アセンブリ表面上に無機の反射
防止コーティング材層を与える工程を含む。無機の反射防止コーティング材層は
、エッチング液への曝露時に関連エッチング速度(associated et
ching rate)を有する。さらにこの方法は、エッチング液に曝露され
た場合に熱処理された反射防止コーティング材層が関連エッチング速度約16Å
/分未満を有するようにするための、約400℃〜約1100℃の温度範囲でそ
の上に形成された無機の反射防止コーティング材層の熱処理を含む。
【0015】 集積回路製作において使用するための別の本発明の方法は、表面を有する基板
アセンブリを与える工程および基板アセンブリ表面上に無機の反射防止コーティ
ング材層を与える工程を含む。この無機の反射防止コーティング材層は、エッチ
ング液に曝露された場合に第一の関連エッチング速度を有する。さらにこの方法
は、無機の反射防止コーティング材層の上にレジスト材の層を与える工程、レジ
スト材の層をパターン化し、無機の反射防止コーティング材層の露光された領域
および無機の反射防止コーティング材層の露光されない領域を得る工程、無機の
反射防止コーティング材層の露光された領域を除去する工程、およびレジスト材
のパターン化された層を除去する工程を含む。無機の反射防止コーティング材層
の露光されない領域は熱処理され、この反射防止コーティング材層の熱処理され
た露光されない領域は、第一エッチング速度よりも遅い第二の関連エッチング速
度を有する。
【0016】 本発明の集積回路の製作において使用する別の方法は、表面を有する基板アセ
ンブリを与える工程、および基板アセンブリ表面上に無機の反射防止コーティン
グ材層を与える工程を含む。この無機の反射防止コーティング材層は、アニーリ
ングされ、それらの光学的特性が変更される。この方法はさらに、無機の反射防
止コーティング材層の上にレジスト材の層を与える工程、レジスト材の層をパタ
ーン化し、無機の反射防止コーティング材層の露光された領域および無機の反射
防止コーティング材層の露光されない領域を得る工程、無機の反射防止コーティ
ング材層の露光された領域およびその下側の基板アセンブリの少なくとも一部を
除去する工程、並びにレジスト材のパターン化された層を除去する工程を含む。
その後無機の反射防止コーティング材層の露光されない領域は熱処理され、この
反射防止コーティング材層の露光されない領域のエッチング速度を変更する。
【0017】 さらに別の集積回路の製作において使用する本発明の方法において、この方法
は、表面を有する基板アセンブリを与える工程、および基板アセンブリ表面上に
無機の反射防止コーティング材層を与える工程を含む。この無機の反射防止コー
ティング材層は、エッチング液に晒された場合に第一の関連エッチング速度を有
する。この方法はさらに、無機の反射防止コーティング材層の上にレジスト材の
層を与える工程、レジスト材の層をパターン化し、基板アセンブリ中の少なくと
も1個の開口部を定義する無機の反射防止コーティング材層の露光された領域を
与える工程、無機の反射防止コーティング材層の露光された領域を除去する工程
、基板アセンブリをエッチングし、その中に少なくとも1個の開口部を得る工程
、並びにレジスト材のパターン化された層を除去する工程を含む。露光された領
域が除去された後に残る無機の反射防止コーティング材層は、その後熱処理され
、その結果この熱処理された残留する反射防止コーティング材層は、第一のエッ
チング速度よりも小さい第二の関連エッチング速度を有する。さらに、基板アセ
ンブリはエッチングされ、その結果残留する反射防止コーティング材層の下側の
基板アセンブリの領域が除去され、かつその後開口部が物質で充填され、開口部
に空隙が形成される。
【0018】 別の本発明の方法が記されている。この方法は、表面を有する基板アセンブリ
を与える工程、および基板アセンブリ表面上に無機の反射防止コーティング材層
を与える工程を含む。この無機の反射防止コーティング材層は、エッチング液に
晒された場合に第一の関連エッチング速度を有し、かつこの無機の反射防止コー
ティング材層は除去され、その結果基板アセンブリ中に1個以上の開口部が形成
される。この領域が除去された後に残留する無機の反射防止コーティング材層は
熱処理され、その結果この熱処理された残留する反射防止コーティング材層は、
第一のエッチング速度よりも小さい第二の関連エッチング速度を有する。この基
板アセンブリはエッチングされ、熱処理された反射防止コーティング材層の下側
の基板アセンブリの領域が除去され、かつ開口部が物質で充填され、その結果開
口部に空隙が形成される。
【0019】 前述の方法は、1種以上の下記の特徴又は工程を有するであろう:熱処理され
た反射防止コーティング材層は、エッチング液に晒された場合に約10Å/分未
満の関連エッチング速度を有し得る;熱処理された反射防止コーティング材層は
、エッチング液に晒された場合に約5Å/分未満の関連エッチング速度を有し得
る;無機の反射防止コーティング材層は、Si:Hであり得る(式中
、xは約0.39〜約0.65の範囲であり、yは0.25〜約0.56の範囲
であり、かつzは約0.05〜約0.14の範囲である。);無機の反射防止コ
ーティング材層は、約100Å〜約1000Åの範囲の厚さを有し得る;熱処理
は、温度約400℃〜約1050℃の範囲、時間約15分〜約45分の範囲の加
熱炉アニーリングを含むことができる;熱処理は、温度約500℃〜約1100
℃の範囲、時間約1秒〜約3分の範囲で、無機の反射防止コーティング材層に急
激な熱アニーリングを施すことを含むことができる;熱処理は、温度約850℃
〜約1050℃の範囲、時間約1秒〜約60秒の範囲で、無機の反射防止コーテ
ィング材層に急激な熱窒化処理アニーリングを施すことを含むことができる;エ
ッチング液は、フッ化水素酸含有エッチング液並びにフッ素塩および無機酸を含
有するエッチング液組成物の1種を含むことができる。
【0020】 さらに別の集積回路の製作における反射防止コーティング材層の形成法におい
て、この方法は、表面を有する基板アセンブリを与える工程、および基板アセン
ブリ表面上に無機の反射防止コーティング材層を与える工程を含む。この無機の
反射防止コーティング材層は、エッチング液に晒された場合に関連エッチング速
度を有する。この無機の反射防止コーティング材層は、温度約400℃〜約11
00℃の範囲で熱処理される。熱処理された反射防止コーティング材層の関連エ
ッチング速度は、熱処理される前の無機の反射防止コーティング材層の関連エッ
チング速度の約20%未満である。
【0021】 さらに、本発明の集積回路の製作におけるエッチング法は、表面を有する基板
アセンブリを与える工程を含む。この基板アセンブリ表面は、エッチング液に晒
された場合に関連エッチング速度を有するBPSGを伴うBPSGを含む。この
方法はさらに、基板アセンブリ表面に対する無機の反射防止コーティング材層を
与える工程、および無機の反射防止コーティング材層を温度約400℃〜約11
00℃の範囲で熱処理する工程を含む。熱処理した反射防止コーティング材層は
、エッチング液に曝露した場合に関連エッチング速度を有する。エッチング液に
晒された場合のBPSG:反射防止コーティング材層の間のエッチング速度の比
は、少なくとも約3:1であり、約20:1よりも大きいことができ、かつ約1
00:1よりも大きいことさえある。加えて、エッチング液に晒された場合のT
EOS:反射防止コーティング材層のエッチング速度の比は、少なくとも約3:
1であり、かつ約10:1よりも大きいこともある。
【0022】 本発明の反射防止コーティング材層は、本質的にSi:Hからなる
(式中、xは約0.39〜約0.65の範囲であり、yは0.25〜約0.56
の範囲であり、かつzは約0.05〜約0.14の範囲である。)。エッチング
液に晒された場合の無機の反射防止コーティング材層のエッチング速度は、約1
6Å/分未満であり、好ましくは約10Å/分未満であり、かつより好ましくは
5Å/分未満である。
【0023】 (態様の詳細な説明) 本発明は、一般に、図1−6を参照し説明するものである。当業者には、図面
の縮尺は、そこに記された様々な要素の正確な寸法を表すものではないことは理
解されるであろう。
【0024】 集積回路(IC)構造を形成する際により良いフォトリソグラフィの制御を提
供するために、無機の反射防止コーティング(ARC)層、あるいは誘電性反射
防止コーティング(DARC)と称されるものが使用される。このような無機の
反射防止コーティング材層の使用は、少なくとも、ある程度は、基板アセンブリ
の下側層からの反射率の抑制のために、基板アセンブリの下側層の上に形成され
たフォトレジストの均一な露光をもたらす。従って、良く定義されたパターンを
、フォトレジストにおいて再生することができる。フォトレジストにおける良く
定義されたパターンの形成は、下側の材料の良く定義されたパターン化につなが
る。集積回路構造においてデバイス密度が増大するにつれて、このような正確な
定義の重要性が増大していく。
【0025】 本願明細書において使用される用語「基板アセンブリ」は、多種多様な半導体
ベース構造であり、半導体基板およびそれらの上もしくはそれらの内部に形成さ
れた1個以上の層又は領域を有する半導体基板を含むが、これらに限定されるも
のではないものを含むと理解されるべきである。半導体基板は、シリコンウェハ
等の物質の単層であることができるか、もしくはサファイア基板上のシリコン単
結晶膜(SOS)技術、絶縁基板上のシリコン単結晶膜(SOI)技術、ドープ
されたおよびされない半導体、ベース半導体により支持されたシリコンのエピタ
キシャル層、更には他の半導体基板構造を含むと理解される。下記の説明におい
て半導体基板アセンブリについて言及される場合は、様々な処理工程は、半導体
基板において領域/接続を形成するために使用されるか、又は基板に対する物質
の1個以上の層又は領域を形成するために使用することができる。
【0026】 図1−3は、基板アセンブリ12中の開口部18を定義する方法の概略を示し
ている。図4−6は、本発明の反射防止コーティング材層14のエッチング速度
を低下するための層14の熱処理工程を含み、これはIC製作におけるこのよう
な低下された湿式エッチング速度の反射防止コーティング材層の使用の例を示し
ている。
【0027】 図1に示されるように、構造10は、その上に形成された無機の反射防止コー
ティング材層14を有する基板アセンブリ12を備える。さらに、構造10は、
反射防止コーティング材層14の上に形成されたレジスト層16を含む。基板ア
センブリ12は、1種以上の異なる物質で作成することができる。例えば、基板
アセンブリ12は、開口部18が定義されているようなシリコンウェハを含むこ
とができる。さらに例えば、基板アセンブリ12は、開口部18が定義された金
属層を含むことができるか、又はボロフォスフォシリケートガラス(BPSG)
もしくはテトラエチルオルソシリケート(TEOS)又はキャパシタなどのため
のトレンチが形成されるいずれか他の酸化物を含むことができる。さらに、例え
ば基板アセンブリ12は、ダマスクプロセッシングの実行のためにエッチングさ
れる物質を含むことができる。
【0028】 前述のように、基板アセンブリ12は、開口部又はトレンチがキャパシタ形成
のために定義された構造であることができる。このような場合例えば、基板アセ
ンブリ12は、開口部に溶着された記憶セル(storage cell)キャパシタの底部
電極に連結するための領域を含むポリシリコンを含有することができ、かつそこ
に開口部18が形成されているような、酸化物層、例えば二酸化ケイ素、BPS
G、リンケイ酸ガラス(PSG)などの絶縁層を含むこともできる。さらに前述
のように、基板アセンブリ12は、シリコン基板であることができる。このよう
な基板は、例えば浅いトレンチ分離等の素子間分離された領域を形成するために
エッチングされ得る。さらに前述のように、基板アセンブリ12は、相互連結の
ためにその中に定義された開口部を有する酸化物層を含むことができる。従って
本発明は、特定の基板アセンブリ12に限定されるものとして理解されるべきで
はない。
【0029】 本願明細書に記された反射防止コーティング材料およびこのような反射防止コ
ーティング材料を使用する方法は、フォトリソグラフィプロセッシングに必要な
あらゆる用途のために使用することができる。しかし本発明は、反射防止コーテ
ィング材料のための低下した湿式エッチング速度が、プロセッシング状況におい
て、例えば反射防止コーティング材層の下側層の過剰エッチングおよびアンダー
カットをもたらすようなプロセッシング状況において、張出し(overhang)を形
成するために使用される場合に、開口部を定義する際の使用において特に利点が
ある。更にこのような用途は一般に、後のプロセッシング工程における無機の反
射防止コーティング材層の反射防止特性の使用が必要である。例えば、本発明は
、絶縁層を通じてのコンタクトホールおよびバイアス(vias)の定義、トレンチ
の定義などにおける、セル電極の形成のための開口部の定義におけるようなキャ
パシタの製造において使用することができる。更に例えば以下に説明するように
、このような用途は、構造又は素子間分離領域のキャパシタンスを減少するため
の空隙を提供することができる。
【0030】 基板アセンブリ12、例えばシリコン基板又はBPSG等の酸化物層において
開口部を定義する方法は、一般に図1−3に示されている。図1を参照して先に
記したように、基板アセンブリ12は、反射防止コーティング材層14およびそ
の上に形成されたレジスト層16を具備している。次に開口部18が、フォトリ
ソグラフィを用いて、基板アセンブリ12に定義される。
【0031】 この基板アセンブリ12上に形成された無機の反射防止コーティング材層14
は、開口部18を定義するために使用されるフォトリソグラフィ工程時の基板ア
センブリ12からの反射を抑制する。無機の反射防止コーティング材層14は、
厚さ約100Å〜約1,000Åの範囲を有するように形成される。好ましくは
、反射防止コーティング材層14は無機物である。好ましい無機の反射防止コー
ティング材料は、フォトリソグラフィプロセッシングにおいて使用される基板ア
センブリ12のレジスト層16および下側層(複数)の間に形成されることが好
ましい。適当な反射防止コーティング材料は、好ましい化学式Si
Hを有する反射防止コーティング材料を含む。好ましくは、xは約0.39〜約
0.65の範囲であり、yは約0.25〜約0.56の範囲であり、かつzは約
0.05〜約0.14の範囲である。別の表現をすると、無機物は、約25%〜
約56%(原子濃度)酸素、約5%〜約14%(原子濃度)窒素、約39%〜約
65%(原子濃度)ケイ素、および水素を含有する物質であることができる。反
射防止コーティング材料の具体例は、約10%(原子濃度)窒素、約25%(原
子濃度)酸素、および約65%(原子濃度)ケイ素を含有する。
【0032】 前記反射防止コーティング材層14は、例えば前述の無機物の単独の実質的に
均質な層からなることができる。更に、別の反射防止コーティング材層14の例
は、物質の堆積を含み、この堆積中の少なくとも1種の物質が、レジスト層16
を通過する照射を減弱するように構成されることができる。この減弱は、このよ
うな照射の全部又は一部の吸収のいずれかを包含し得る。減弱が部分的吸収のみ
を包含する場合は、好ましくは吸収されない照射が適当な波長で反射され、その
結果これは堆積を通過する他の照射によりキャンセルされる。物質の堆積を含む
ような反射防止コーティング材層14の立体配置の例において、この層は、堆積
の底に、約25%〜約56%(原子濃度)酸素、約5%〜約14%(原子濃度)
窒素、約39%〜約65%(原子濃度)ケイ素、および水素の物質を含むことが
できる。残りの堆積は、完全に又は部分的に照射を透過するような1個以上の層
を含むことができる。例えばこのような層は、例として二酸化ケイ素を含むこと
ができる。
【0033】 無機の反射防止コーティング材層14は、前述の組成の範囲に適したいずれか
の方法で形成することができる。例えば、前述の窒素、酸素、水素およびケイ素
を含有する無機の反射防止コーティング材料は、温度約200℃〜約400℃で
の化学気相堆積(chemical vapor deposition;CVD)により、基板アセンブ
リ12上に形成することができる。好ましくはプラズマ励起化学気相堆積(PE
CVD)が使用される。PECVDは、約80℃〜約400℃の範囲の比較的低
温での層14の形成を可能にする。PECVD法において、反応ガスが、比較的
低圧である(すなわち、周囲圧力よりも低い)反応チャンバーに導入される。こ
の反応チャンバーは、真空ポンプなどにより減圧され、望ましくない反応種が除
去される。その後反応ガスを含有する反応ガス混合物がこのチャンバーに導入さ
れる。これは様々な技術のひとつにより実施される。例えば、チャンバーへの導
入は、室温で気体状の化合物の使用により実施することができる。化合物のチャ
ンバーへの導入に使用される技術は変更することができ、かつ本発明はいずれか
特定の技術、又は特定の反応チャンバーに限定されるものではないことは容易に
理解されるはずである。例えば化学気相堆積は、Genus社、Applied Materials社
、又はNovelus社から入手できる反応器チャンバーにおいて行うことができる。
しかし、PECVD実施に適したあらゆる反応チャンバーを使用することができ
る。
【0034】 典型的には、反応ガスが、個別の吸入口からチャンバーへと取り入れられる。
反応種に加えて、希釈ガスを該チャンバーへと流すことができる。例えば、形成
される層の均一性を提供することを補助するために、ヘリウムをチャンバーに流
すことができる。PECVDにおいて、プラズマは、反応ガスを含有する反応ガ
ス混合物を横切る電界の印加により形成される。このプラズマは、反応にエネル
ギーを与え、反応を完了するよう押し進める。一般に前述のように、プラズマ法
の使用は、基板アセンブリ12が、他のCVD法よりも若干低い温度に維持され
ることを可能にする。いずれか適当な動力源を用いて、反応チャンバー内にプラ
ズマを形成することができる。適当な動力源は、無線周波(RF)発生器、マイ
クロ波(例えば、2.5GHzマイクロ波供給源)発生器、又は電子サイクロト
ロン共鳴(ECR)源がある。好ましい動力源は、標準の13.56MHzの動
力源として操作される RF発生器である。
【0035】 前述の反射防止コーティング材層16、例えば層Si:Hは、ケイ
素−含有前駆ガス、酸素−含有前駆ガス、および窒素−含有前駆ガスを反応チャ
ンバーに流して形成することができる。一般に、不活性希釈ガス(例えば、ヘリ
ウム、アルゴン)も使用される。ケイ素−含有前駆ガスは、シランファミリー(
例えば、シラン、ジシラン、ジクロロシラン、メチルシランなど)の一員である
ことが好ましい。好ましくは酸素−含有前駆ガスおよび窒素−含有前駆ガスは、
酸化窒素(NO)、NO、N、およびNO又はそれらの組合せの群か
ら選択された単独の気体であることができ;この気体はNO が好ましい。し
かし窒素−含有前駆体および酸素−含有前駆体は、個別の気体として提供するこ
とができる。このような前駆体が個別の気体である場合は、酸素−含有前駆体は
、O、O、NO、NO、N、およびNO、又はそれらの組合せか
ら選択される。窒素−含有前駆体は、NO、NO、N、NO、アンモ
ニア(NH)、窒素(N)、又は[C2n+1NH (例えば [
CHNH)ファミリー由来の気体、もしくはそれらの組合せから選択する
ことができる。
【0036】 反射防止コーティング材層14の温度約400℃以上でのアニーリングが、反
射防止コーティング材層の光学的特性を、この反射防止コーティング材層がより
照射を吸収するように変更することは分かっている。このような反射防止コーテ
ィング材層14のそれらの光学的特性を変更するためのアニーリングは、199
8年2月25日に出願された同時継続出願である米国特許出願第09/030,
618号に開示されており、これは同じ譲受人に譲渡されており、その全体が本
願明細書に参照として組入れられている。この層14の少なくとも一部は、フォ
トレジスト層16が形成される前に、好ましくは約400℃よりも高い温度でア
ニーリングされ得る。この部分は、好ましくは温度範囲約800℃〜約1050
℃で、より好ましくは約800℃〜約900℃で、および最も好ましくは約85
0℃でアニーリングされる。アニーリングの間に、反射防止コーティング材層1
4は、NおよびArを含有する雰囲気等の、窒素−含有雰囲気に晒されること
が好ましい。例えばこの雰囲気は本質的にNからなることができる。
【0037】 このようなアニーリングは、酸素、窒素、ケイ素および水素を含有する反射防
止コーティング材層14の部分に特に有益である。特に、アニーリングは、反射
防止コーティング材層14の反射率係数(n)および反射防止コーティング材層
14の減光係数(k)で吸光係数とも称されるものに影響を及ぼすことがわかっ
ている。例えば、約10%(原子濃度)窒素、約25%(原子濃度)酸素、およ
び約55%(原子濃度)ケイ素を含有する水素化された物質の約400℃よりも
高温でのアニーリングは、248nmの波長の光に晒された物質の”n”および
”k”を、各々、2.12および1.19から、1.89および1.41へと変
更することがわかっている。更にアニーリングはこのような物質の365nm波
長の光に晒された場合の”n”および”k”を、各々、2.67および0.59
から、2.89および1.11へと変更することがわかっている。
【0038】 一般に適当な反射防止コーティング材料は、波長約248nm又は波長約36
5nmで、約1.7〜約2.7の範囲の反射率(n)を有する。更に適当な反射
防止コーティング材料の吸光率(k)は一般に、波長約248nm又は波長約3
65nmで、約0.01〜約1.5の範囲である。プロセッシングに必要な反射
率および吸光率は、使用されるフォトレジストおよびレジスト層が形成される基
板アセンブリのその他の下側層の反射率および吸光率に加え、下側の基板アセン
ブリの形体および層の寸法に応じて決まる。波長によって、非−化学量論的反射
防止コーティング材層中のシリコンの量が増大するにつれ、典型的には反射防止
コーティング材料の反射率および吸光率も増大する。例えば、一般には約365
nmの波長に対する約248nmの場合である。
【0039】 反射防止コーティング材層14が基板アセンブリ12上に形成された後、もし
くは反射防止コーティング材層14の光学的特性を変更するアニーリングが行わ
れた後(アニーリングが全く光学的特性を変更するように行われた場合)、レジ
スト層16が反射防止コーティング材層14の上に形成される。このレジスト層
16は、通常のレジスト層形成法により形成することができる。例えば、レジス
ト溶液を、層14の上にスパンし(spun)、かつその後引き続き層の上のス
パンから固形物を揮発させ、固形レジスト層16を形成する。
【0040】 図2に示されるように、基板アセンブリ12中に開口部18を定義する際に使
用するために、レジスト層16、例えばネガ型又はポジ型フォトレジストが、反
射防止コーティング材層14上に形成される。このフォトレジスト層16は、フ
ォトリソグラフィ法において使用することができるいずれか適当なフォトレジス
トであることができる。例えばこのフォトレジストは、深紫外線(DUV)レジ
スト、中間紫外線(MUV)レジスト、又はフォトリソグラフィプロセッシング
において使用されるいずれか他のレジストであることができる。
【0041】 レジスト層16は、通常のフォトリソグラフィを用いてパターン化される。例
えば、適当なマスクを用いて、DUVレジストが、約248nmの波長に晒され
るか、もしくは他の型のレジストが、約365nmの波長に晒され得る。その後
このフォトレジストは、現像液と接触され、かつフォトレジストがそこで露出さ
れたパターンに従い選択的に除去される。フォトレジスト層16中の開口部18
は、フォトリソグラフィ法により生じ、かつ望ましい構造を得るために除去され
るべき基板アセンブリ12の面積を定義する。別の表現をすると、開口部18は
、図3に示されたようなそれを通る開口部18を定義するためにエッチングされ
るべき基板アセンブリ12の面積を定義する。レジスト層16のパターン化は、
パターン化されたレジストにより被覆された反射防止コーティング材層14の領
域又は部分32、および開口部18を通して露出された反射防止コーティング材
層14の部分30を生じる。
【0042】 当業者は、レジスト層および下側層のパターン化のためのいずれかのフォトリ
ソグラフィ法を、本発明に従い使用することができることを認めるであろう。し
かし、フォトリソグラフィ法のパラメーターに応じて、反射防止コーティング材
層14の特徴は順次変化するであろう。例えば、該層14の組成および層14の
厚さは、波長248nm領域に露出されたDUVレジスト又は波長365nm領
域に露出されたレジストを使用することができるかどうかに応じて変動すること
ができる。これは少なくとも部分的に、様々なフォトリソグラフィ法において層
14に必要とされる異なる反射率特性によるものである。
【0043】 図3に示されたように、開口部18は、反射防止コーティング材層14を通じ
てかつ基板アセンブリ12へと伸びている。この開口部18は、例えば乾式プラ
ズマエッチング又は湿式エッチング等の、通常の方法の使用により拡大すること
ができる。例えばレジスト層16の一部が基板アセンブリ12をパターン化する
ために選択的に除去された後に、適当な乾式エッチングが使用され、基板アセン
ブリ12の表面領域34から下側へと基板アセンブリ12に開口部18がエッチ
ングされる。開口部18の乾式エッチングは、様々な用途のための様々な化学物
質を用いて行うことができる。乾式エッチングの使用は、一般に、等方にエッチ
ングする乾燥エッチング液の能力により好ましく、かつこのように臨界寸法を制
御することができる。豊富なBPSG酸化物層等の酸化物層の除去のために使用
される化学物質のひとつの具体例は、CHF、SF又はCF等のフッ素系
の化学物質の使用を含むであろう。乾式エッチングは、開口部18を定義するた
めの基板アセンブリ12のエッチングに加え、レジスト層16によりパターン化
された反射防止コーティング材層14の露出された部分30を除去するであろう
【0044】 前述のように開口部18は、基板アセンブリ12の表面領域34へと、基板ア
センブリ12を通じてエッチングされ得る。例えば、表面領域34は、キャパシ
タ電極構造が開口部に形成された場合等の、ポリシリコン−含有領域であること
ができ、この表面領域34は、トランジスタの動力源又はドレインへのコンタク
トを形成するような、ケイ素−含有領域であることができ、もしくは例えばシリ
コン基板中のトレンチ、素子間分離中のトレンチ、キャパシタ形成に使用される
トレンチなどの、それに開口部が典型的にはエッチングされているような、いず
れか他の表面領域であることができる。
【0045】 この開口部18が基板アセンブリ12にエッチングされた後、レジスト層16
が除去され、図4に示した構造が生じる。このレジスト層16は、例えば酸素灰
化(oxygen ash)法で例として酸素−含有プラズマ法などのいずれか適当な方法
を用いて取り除くことができる。
【0046】 図1−3に示されるように、レジスト層16は、反射防止コーティング材層1
4と接触している。しかし、別の態様において、介在層をレジスト層16と反射
防止コーティング材層14の間に形成することができることは理解されなければ
ならない。このような介在層は、レジスト層16のパターン化に利用される照射
に対して少なくとも部分的に透過性であり、この照射は、反射防止コーティング
材層14に透過し、かつこれにより照射に対するレジスト層16の露光時に吸収
される。更にこのような介在層が存在する場合には、レジスト層16のパターン
が、反射防止コーティング材層14へパターンを伸ばすことなく、介在層へ移さ
れることも理解される。従って本発明は、反射防止コーティング材層14がエッ
チングされないような態様を包含している。
【0047】 本願明細書に記したように、多くの場合、開口部18が定義された後に除去さ
れることとは対照的に、反射防止コーティング材層14が維持されることが望ま
しい。このように、かつ本発明に従い、無機の反射防止コーティング材層14の
熱処理を用いて、例えば湿式エッチング液等のエッチング液に晒された場合のそ
れらのエッチング速度を変更することができる。このような熱処理は、反射防止
コーティング材層14を用いて開口部18を定義した後に生じる(アニーリング
が、該層14の光学的特性、例えばnおよびkを変更するためにあらかじめ行わ
れたかどうかにかかわりなく)。好ましくは、反射防止コーティング材層14は
、無機の反射防止コーティング材層14の望ましいエッチング速度を達成するた
めに、約400℃より高くおよび同じく好ましくは約1100℃よりも低い温度
で熱処理される。当業者は、無機の反射防止コーティング材層14のエッチング
速度を減速するために使用されるアニーリング温度は、基板アセンブリ12が崩
壊することなく耐えられる最高温度により制限されることを認めるであろう。
【0048】 様々な熱処理法を用いて、以下に記すような反射防止コーティング材層14に
ついて望ましいエッチング速度を達成することができる。例えば、加熱炉熱処理
を行うことができる。好ましくは加熱炉熱処理において、厚さ範囲約100Å〜
約1,000Åを有する無機の反射防止コーティング材料が、温度約400℃〜
約1050℃の範囲で、時間約10分〜約45分間の範囲で加熱炉アニーリング
され;より好ましくは、約650℃〜約850℃の範囲で、時間約20分〜約4
0分の範囲で行われる。
【0049】 更に、この熱処理は、無機の反射防止コーティング材層14に急速な熱アニー
リング、すなわち急速な熱処理法(RTP)を施すことを含むことができる。好
ましくは厚さ約100Å〜約1,000Åを有する無機の反射防止コーティング
材層14の急速な熱アニーリングは、温度約500℃〜約1100℃の範囲で、
時間約1秒〜約3分の範囲で行うことができ;より好ましくは、約800℃〜約
1000℃の範囲で、時間約10秒〜約60秒の範囲で行われる。
【0050】 より更に無機の反射防止コーティング材層14には、急速な熱窒化処理法を施
すことができる。好ましくは、厚さ約100Å〜約1,000Åの範囲を有する
無機の反射防止コーティング材層14には、窒素−含有雰囲気、例えばN中で
、温度約800℃〜約1050℃の範囲で、時間約1秒〜約60秒の範囲のアニ
ーリングが施され;より好ましくは、約850℃〜約1000℃の範囲で、時間
約10秒〜約60秒の範囲である。アルゴン、ヘリウムなどのような別の非−反
応性ガスも存在してもよい。
【0051】 当業者は、前述の熱処理を、利用可能な処理に一致している様々な方法で行う
ことができることを認めるであろう。例えば加熱炉アニーリング又はいずれか他
のアニーリングを、本願明細書に記された範囲の合計時間(composite time per
iod)について多工程で行うことができる。
【0052】 好ましくは、実施された熱処理は、無機の反射防止コーティング材層14のエ
ッチング速度を、特定のエッチング液に晒された場合に約16Å/分未満のエッ
チング速度に減少する。この特定のエッチング液を熱処理前に使用した場合は、
このエッチング速度は約16Å/分よりも大きく、かつ多くの場合30Å/分よ
りもはるかに大きいであろう。好ましくは、熱処理した反射防止コーティング材
層14は、特定のエッチング液に晒された場合に、関連エッチング速度約10Å
/分未満を有し;より好ましくは約5Å/分未満である。
【0053】 一般に図4の開口部18のサイズに対し図5の開口部の拡大されたサイズによ
り示されるような基板アセンブリ12中の開口部18を拡大するために使用され
るエッチング液は、HF−含有エッチング液、又は一般にNHFおよびH
等のフッ素塩および無機酸を含有するエッチング液組成物を使用することが
できる。例えばこれらのエッチング液は、HF溶液を希釈するようなHF−含有
エッチング液又はACSI商標SOEの名称で販売され、オキシドエッチ−1と
も称されているエッチング液の群より選択することができる。更に例えば、酸化
物のエッチングのように、エッチング液は、脱イオン水:HFの100:1溶液
を含有することができ、ここでHFは、HFおよび脱イオン水を含有する市販の
溶液である(HF:DIが約1:1)。更に例えば、酸化物のエッチング又は清
浄化に使用されるエッチング液は、NHFで緩衝したHFの希釈溶液、例えば
水680ml中のNHF 454g 10部および48% HF 1部である
ような、緩衝された酸化エッチ(BOE)(BHFとも称される)を含むことが
でき;Olin Hunt社から商標QE−IIで入手できる湿式クリーン化溶液である
QE−IIを含むことができ(NHF 40質量%およびHPO 1.2
〜1.3質量%);又は、同じくOlin Hunt社から入手できるスーパー−Q溶液
(NHF 40質量%およびHPO 4質量%)を含むことができる。当
業者は、使用される湿式エッチング液は、エッチングされる基板アセンブリ12
の材料によって決まることを認めるであろう。例えば、反射防止コーティング材
層14が酸化物層ではなく材料の下側層の上に存在するならば、様々な溶液を使
用することができる。
【0054】 多くの状況において、反射防止コーティング材層14を、基板アセンブリ12
よりも非常に遅いエッチング速度でエッチングすることが望ましい。このように
、無機の反射防止コーティング材層14のためのエッチング速度を低下する熱処
理は、このような選択性を達成するために望ましい。例えば濃縮されたBPSG
は、一般にQE−II溶液中で約60Å/分〜約75Å/分の速度でエッチング
し、スーパーQ溶液中では約140Å/分〜約170Å/分の速度で、および1
00:1のHF:脱イオン水溶液中では約210Å/分〜約240Å/分の速度
でエッチングする。無機の反射防止コーティング材層14に対するBPSGのエ
ッチングに関して少なくとも3:1の選択性を有することが、無機の反射防止コ
ーティング材層14に対するBPSGのエッチングに関して少なくとも20:1
の選択性を有することが、又は無機の反射防止コーティング材層14に対するB
PSGのエッチングに関して少なくとも100:1の選択性を有することさえも
望ましいであろう。更に例えば、濃縮されたTEOSは、一般に100:1のH
F:脱イオン水溶液中では約28Å/分の速度でエッチングし、かつQE−II
溶液中では約53Å/分の速度でエッチングする。無機の反射防止コーティング
材層14に対するTEOSのエッチングに関して少なくとも約3:1の選択性を
有すること、又は無機の反射防止コーティング材層14に対するTEOSのエッ
チングに関して少なくとも約10:1の選択性を有することさえもが望ましいで
あろう。HF−ベースの溶液について約16Å/分以下、および約5Å/分以下
さえもが達成可能である無機の反射防止コーティング材層14に関する低下され
たエッチング速度により、このような選択性は下記例により更に示されるように
達成することができる。
【0055】 図5は、例えば本発明に従い、そのエッチング速度を低下するために無機の反
射防止コーティング材層14の熱処理後、開口部18のエッチングが拡大してい
るような、基板アセンブリ12のエッチング時に得られる構造を示している。前
述の高い選択性により、反射防止コーティング材層14を取り除くことなく、基
板アセンブリ12の物質が、無機の反射防止コーティング材層14の下側から除
去される。図5に示したように、無機の反射防止コーティング材層14の熱処理
後に生じる選択エッチングは、突起の下側の開口部18のアンダーカット領域2
3、すなわち無機の反射防止コーティング材層14の張出し領域22を生じる。
当業者は、このようなアンダーカット領域23を形成するために使用したエッチ
ング液が、基板アセンブリ12の材料によって決まることは認めるであろう。例
えば、この材料がアンダーカット領域中にエッチングされる酸化物であるならば
、前述のようにHF−ベースの溶液等のエッチング液を使用することができる。
【0056】 図6は、少なくとも該構造の開口部18の一部および他の表面、例えば層14
中に形成された物質24を有する図5の構造を示す。本願明細書に例示されてい
る図6に示されるように、反射防止コーティング材層14の張出し領域22が、
開口部18の端36を越えて突き出している。熱処理後に行われた選択的エッチ
ングは、反射防止コーティング材層14のエッチング速度を低下するために使用
され、その結果開口部18を定義する壁37の間の寸法43に対する、張出し領
域又は突起22間の寸法41により示された、開口部間の寸法差の増大を生じる
。この開口部18を物質24、例えば二酸化ケイ素等の誘電性物質で充填する場
合、この物質は最初に、開口部18の壁37および底面領域34の上、並びに更
に開口部18の端36の上側に伸びている又は突き出ている突起22の表面39
に沿って蒸着される。このように、物質24がこのような表面上に形成される場
合、矢印41で示された突起又は張出し領域22の間に存在する開口部は、開口
部18が完全に物質24で満たされる前に、物質で満たされる。このようにして
、開口部18を満たしている物質24中に空隙26が生じることがある。本願明
細書に記したように、空隙は、開口部18内の物質24により占有されない空間
として定義される。
【0057】 このような熱処理した反射防止コーティング材層14の使用は、例えば素子間
分離に使用した蒸着した物質のキャパシタンス値を減少するため等の、例えばト
レンチ、誘電性物質の蒸着のための開口部などのような、開口部内の空隙の形成
において有益であろう。更に、ヂュアルダマスク法等の、引き続きのフォト処理
のために基板アセンブリ上に熱処理した反射防止コーティング材層を残すことが
望ましい場合、反射防止コーティング材層のエッチング速度は、下側の酸化物(
TEOS、BPSG)層と一致するように遅延される。
【0058】 5種の異なる種類の反射防止コーティング材層を、HF清浄化したシリコンウ
ェハ上に、プラズマ蒸着により、厚さ500Åに蒸着した。5種の異なる種類の
反射防止コーティング材層は、DARC320;I−line DARC;DU
V−DARC;HER−DARC;およびFuse−DARCである。DARC
320は、酸素25.5%、ケイ素64.8%、および窒素9.8%を含む。I
−line DARCは、酸素36%、ケイ素54.1%、および窒素9.9%
を含む。DUV−DARCは、酸素36.6%、ケイ素50.1%、および窒素
13.3%を含む。HER−DARCは、酸素40.1%、ケイ素53.3%、
および窒素6.5%を含む。更にFuse−DARCは、酸素55.9%、ケイ
素38.9%、および窒素5.2%を含む。
【0059】 反射防止コーティング材層を形成した後、これらを100:1の脱イオン水:
HF溶液に浸漬することによりエッチングした(ここでHFは、脱イオン水:H
Fが1:1の組成である市販のHFである。)。エッチング速度を測定しかつ表
に示した。
【0060】 その後、同じ反射防止コーティング材層を、窒素雰囲気下で10秒間、100
0℃で、RTPを施した。次に熱処理した反射防止コーティング材層を、同じ1
00:1の脱イオン水:HF溶液を用いてエッチングした。エッチング速度を測
定しかつ表に示した。
【0061】 エッチング速度の測定値の結果を、同じく熱処理後のエッチング速度に対する
熱処理前のエッチング速度の割合(%)と共に表Aに示す。
【0062】
【表1】
【0063】 熱処理後に低下したエッチング速度を、同じ100:1の脱イオン水:HF溶
液中の BPSGおよびTEOSのエッチング速度と比較した場合、BPSGお
よびTEOSに対する望ましい選択性を達成することができることが示されてい
る。更に例えば前述のように、100:1の脱イオン水:HF溶液中のBPSG
:DARGのエッチング比は、約22:1〜約110:1の範囲である。更に例
えば前述のように、100:1の脱イオン水:HF溶液中のTEOS:DARC
は、約3:1〜約13:1の範囲である。
【0064】 前述の方法と実質的に同じ方法で、同じ種類の反射防止コーティング材層を、
熱処理の前および後にスーパー−Q溶液中でエッチングした。エッチング速度の
測定値の結果を、同じく熱処理後のエッチング速度に対する熱処理前のエッチン
グ速度の割合(%)と共に表Bに示す。
【0065】
【表2】
【0066】 熱処理後に低下したエッチング速度を、スーパーQ溶液中の BPSGのエッ
チング速度と比較した場合、BPSGに対する望ましい選択性を達成することが
できることが示されている。更に例えば前述のように、スーパーQ溶液中のBP
SG:DARGのエッチング比は、約3:1〜約13:1の範囲である。
【0067】 更にまた、前述の方法と実質的に同じ方法で、同じ種類の反射防止コーティン
グ材層を、熱処理の前および後にQEII溶液中でエッチングした。エッチング
速度の測定値の結果を、同じく熱処理後のエッチング速度に対する熱処理前のエ
ッチング速度の割合(%)と共に表Cに示す。
【0068】
【表3】
【0069】 熱処理後に低下したエッチング速度を、同じQEII溶液中のBPSGおよび
TEOSのエッチング速度と比較した場合、BPSGおよびTEOSに対する望
ましい選択性を達成することができることが示されている。更に例えば前述のよ
うに、QEII溶液中のBPSG:DARGのエッチング比は、約3:1〜約7
:1の範囲である。
【0070】 更に前記データに示されるように、熱処理後のエッチング速度の熱処理前のエ
ッチング速度に対する低下が、実質的に各場合について示されている。各々の場
合、熱処理後のエッチング速度は常に熱処理前のエッチング速度の20%未満で
ある。殆どの場合、熱処理後のエッチング速度は、熱処理前のエッチング速度の
15%未満である。更に多くの場合、熱処理後のエッチング速度は、熱処理前の
エッチング速度の5%未満である。
【0071】 本願明細書に引用された全ての特許および参考文献は、その各々が個別に組入
れられるのと同様にその全体が組入れられている。本発明は、例証的態様を参照
して説明されているが、これは限定の意味で構成されることを意図してない。先
に記したように、当業者は、様々な他の例証的用途が、エッチング速度特性が低
下された反射防止コーティング材料がこのような用途における利益を提供するよ
うに、本願明細書に説明されたような熱処理された反射防止コーティング材層を
利用することができることを理解するであろう。本発明の態様に加え、例証的態
様の様々な変更は、本説明を参照して当業者には明らかであろう。
【図面の簡単な説明】
【図1】 図1は、本発明に従いそのエッチング速度を低下するための無機の反射防止コ
ーティング材層の熱処理を含む製作法の概略を示す。
【図2】 図2は、本発明に従いそのエッチング速度を低下するための無機の反射防止コ
ーティング材層の熱処理を含む製作法の概略を示す。
【図3】 図3は、本発明に従いそのエッチング速度を低下するための無機の反射防止コ
ーティング材層の熱処理を含む製作法の概略を示す。
【図4】 図4は、本発明に従いそのエッチング速度を低下するための無機の反射防止コ
ーティング材層の熱処理を含む製作法の概略を示す。
【図5】 図5は、本発明に従いそのエッチング速度を低下するための無機の反射防止コ
ーティング材層の熱処理を含む製作法の概略を示す。
【図6】 図6は、本発明に従いそのエッチング速度を低下するための無機の反射防止コ
ーティング材層の熱処理を含む製作法の概略を示す。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成13年5月2日(2001.5.2)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正の内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,TZ,UG,ZW ),EA(AM,AZ,BY,KG,KZ,MD,RU, TJ,TM),AE,AG,AL,AM,AT,AU, AZ,BA,BB,BG,BR,BY,CA,CH,C N,CR,CU,CZ,DE,DK,DM,DZ,EE ,ES,FI,GB,GD,GE,GH,GM,HR, HU,ID,IL,IN,IS,JP,KE,KG,K P,KR,KZ,LC,LK,LR,LS,LT,LU ,LV,MA,MD,MG,MK,MN,MW,MX, NO,NZ,PL,PT,RO,RU,SD,SE,S G,SI,SK,SL,TJ,TM,TR,TT,TZ ,UA,UG,UZ,VN,YU,ZA,ZW (72)発明者 イン,チピン アメリカ合衆国,アイダホ 83706,ボイ ス,イースト レガッタ ストリート 1462 Fターム(参考) 2H025 AA00 AB16 DA34 FA40 2K009 AA02 BB02 CC03 CC42 DD03 DD06 DD12 5F046 PA03 PA04 5F058 BA20 BC04 BC05 BC11 BF07 BF08 BF09 BF23 BF24 BF29 BF30 BH04 BH12

Claims (35)

    【特許請求の範囲】
  1. 【請求項1】 下記の工程を含む、集積回路の製作において反射防止コーテ
    ィング材層を形成する方法: 表面を有する基板アセンブリを与える工程; 基板アセンブリ表面上に無機の反射防止コーティング材層を与える工程であり
    、ここで該無機の反射防止コーティング材層が、エッチング液に晒された場合に
    関連(associated)エッチング速度を有する工程; その上に形成された無機の反射防止コーティング材層を、温度約400℃〜約
    1100℃の範囲で熱処理する工程であって、ここで熱処理した反射防止コーテ
    ィング材層が、エッチング液に晒された場合に約16Å/分未満の関連エッチン
    グ速度又は熱処理される前の無機の反射防止コーティング材層の関連エッチング
    速度の約20%未満を有する工程。
  2. 【請求項2】 熱処理した反射防止コーティング材層が、エッチング液に晒
    された場合に約10Å/分未満の関連エッチング速度を有する、請求項1の方法
  3. 【請求項3】 熱処理した反射防止コーティング材層が、エッチング液に晒
    された場合に約5Å/分未満の関連エッチング速度を有する、請求項2の方法。
  4. 【請求項4】 熱処理した反射防止コーティング材層の関連エッチング速度
    が、熱処理される前の無機の反射防止コーティング材層の関連エッチング速度の
    約15%未満である、請求項1の方法。
  5. 【請求項5】 熱処理した反射防止コーティング材層の関連エッチング速度
    が、熱処理される前の無機の反射防止コーティング材層の関連エッチング速度の
    約5%未満である、請求項4の方法。
  6. 【請求項6】 無機の反射防止コーティング材層が、Si:Hで
    ある(式中、xは約0.39〜約0.65の範囲であり、yは0.25〜約0.
    56の範囲であり、かつzは約0.05〜約0.14の範囲である。)、請求項
    1〜5のいずれかの方法。
  7. 【請求項7】 無機の反射防止コーティング材層が、厚さ約100Å〜約1
    000Åの範囲を有し、かつ無機の反射防止コーティング材層の熱処理が、無機
    の反射防止コーティング材層の温度約400℃〜約1050℃の範囲で、時間約
    15分〜約45分での加熱炉アニーリングを含む、請求項1〜6のいずれかの方
    法。
  8. 【請求項8】 無機の反射防止コーティング材層が、厚さ約100Å〜約1
    000Åの範囲を有し、かつ無機の反射防止コーティング材層の熱処理が、無機
    の反射防止コーティング材層に、急速熱アニーリングを、温度約500℃〜約1
    100℃の範囲で、時間約1秒〜約3分の範囲で施すことを含む、請求項1〜6
    のいずれかの方法。
  9. 【請求項9】 無機の反射防止コーティング材層の熱処理が、無機の反射防
    止コーティング材層に、急速加熱窒化アニーリングを、窒素含有雰囲気中で、温
    度約800℃〜約1050℃の範囲で、時間約1秒〜約60秒の範囲で施すこと
    を含む、請求項1〜6のいずれかの方法。
  10. 【請求項10】 エッチング液が、フッ化水素酸含有エッチング液並びにフ
    ッ素塩および無機酸を含有するエッチング液組成物の1種を含む、請求項1〜9
    のいずれかの方法。
  11. 【請求項11】 エッチング液が脱イオン水:HFの100:1溶液である
    、請求項10の方法。
  12. 【請求項12】 下記の工程を含む、集積回路の製作における使用法: 表面を有する基板アセンブリを与える工程; 基板アセンブリ表面上に無機の反射防止コーティング材層を与える工程で、こ
    こで該無機の反射防止コーティング材層が、エッチング液に晒された場合に第一
    の関連エッチング速度を有する工程; 無機の反射防止コーティング材層の上にレジスト材層を与える工程; 無機の反射防止コーティング材層の露出した領域および無機の反射防止コーテ
    ィング材層の露出していない領域中に生じるレジスト材の層をパターン化する工
    程; 無機の反射防止コーティング材層の露出した領域を取り除く工程; レジスト材のパターン化された層を取り除く工程;および 無機の反射防止コーティング材層の露出していない領域を熱処理する工程であっ
    て、ここで反射防止コーティング材層の熱処理された露出されていない領域が、
    第一のエッチング速度よりも小さい第二の関連エッチング速度を有する工程。
  13. 【請求項13】 更に、レジスト材層をパターン化する前に、それらの光学
    的特性を変更するために、無機の反射防止コーティング材層をアニーリングする
    工程を含む、請求項12の方法。
  14. 【請求項14】 無機の反射防止コーティング材層の露出された領域に生じ
    るレジスト材層のパターン化が、基板アセンブリ中に少なくともひとつの開口部
    を定義し、ここで無機の反射防止コーティング材層の露出された領域の除去が、
    そこに少なくともひとつの開口部を生じる基板アセンブリのエッチングを含み、
    かつ更にこの方法が下記の工程を含む、請求項12の方法: 残留する反射防止コーティング材層の下側の基板アセンブリの領域が取り除か
    れるように、基板アセンブリをエッチングする工程;および 空隙を開口部中に形成するように、開口部に物質を充填する工程。
  15. 【請求項15】 更に、基板アセンブリのエッチングが、基板アセンブリの
    開口部を超えて拡大している反射防止コーティング材層の張出し領域を生じ、か
    つ更に開口部の充填が、完全に開口部を物質で充填する前に、張出し領域間に物
    質が形成され、空隙が形成されることを含む、請求項14の方法。
  16. 【請求項16】 エッチングされた基板アセンブリが、BPSGを含み、か
    つ更にここでエッチング液を用いるBPSG:反射防止コーティング材層の間の
    エッチング速度の比が少なくとも約3:1である、請求項14の方法。
  17. 【請求項17】 エッチングされた基板アセンブリが、TEOSを含み、か
    つ更にここでエッチング液を用いるTEOS:反射防止コーティング材層の間の
    エッチング速度の比が少なくとも約3:1である、請求項14の方法。
  18. 【請求項18】 エッチング液に晒した場合に、第二のエッチング速度が約
    16Å/分未満である、請求項12〜17のいずれかの方法。
  19. 【請求項19】 エッチング液に晒した場合に、第二のエッチング速度が約
    10Å/分未満である、請求項12〜17のいずれかの方法。
  20. 【請求項20】 エッチング液に晒した場合に、第二のエッチング速度が第
    一のエッチング速度の約20%未満である、請求項12〜17のいずれかの方法
  21. 【請求項21】 残留する無機の反射防止コーティング材層の熱処理が、温
    度約400℃〜約1100℃の範囲での熱処理を含む、請求項12〜17のいず
    れかの方法。
  22. 【請求項22】 無機の反射防止コーティング材層が、Si:H
    である(式中、xは約0.39〜約0.65の範囲であり、yは0.25〜約0
    .56の範囲であり、かつzは約0.05〜約0.14の範囲である。)、請求
    項12〜17および21のいずれかの方法。
  23. 【請求項23】 エッチング液が、フッ化水素酸含有エッチング液並びにフ
    ッ素塩および無機酸を含むエッチング液組成物の1種を含む、請求項12〜17
    および21〜22のいずれかの方法。
  24. 【請求項24】 下記の工程を含む、集積回路の製作におけるエッチング法
    : 表面を有する基板アセンブリを与える工程であって、ここで基板アセンブリ表
    面は、BPSGを含み、更にここでBPSGは、エッチング液に晒された場合に
    関連エッチング速度を有する工程; 基板アセンブリ表面上に対し無機の反射防止コーティング材層を与える工程;
    および 無機の反射防止コーティング材層を、温度約400℃〜約1100℃の範囲で熱
    処理する工程であって、ここで熱処理された反射防止コーティング材層は、エッ
    チング液に晒された場合に関連エッチング速度を有し、更にここでエッチング液
    に晒された場合のBPSG:反射防止コーティング材層のエッチング速度の比が
    、少なくとも約3:1である工程。
  25. 【請求項25】 エッチング液に晒された場合のBPSG:反射防止コーテ
    ィング材層のエッチング速度の比が、少なくとも約20:1である、請求項24
    の方法。
  26. 【請求項26】 エッチング液に晒された場合のBPSG:反射防止コーテ
    ィング材層のエッチング速度の比が、少なくとも約100:1である、請求項2
    4の方法。
  27. 【請求項27】 エッチング液が、フッ化水素酸含有エッチング液の1種で
    ある、請求項26の方法。
  28. 【請求項28】 エッチング液が脱イオン水:HFの100:1溶液である
    、請求項27の方法。
  29. 【請求項29】 無機の反射防止コーティング材層が、Si:H
    である(式中、xは約0.39〜約0.65の範囲であり、yは0.25〜約0
    .56の範囲であり、かつzは約0.05〜約0.14の範囲である。)、請求
    項24〜28のいずれかの方法。
  30. 【請求項30】 下記の工程を含む、集積回路の製作におけるエッチング法
    : 表面を有する基板アセンブリを与える工程であって、ここで基板アセンブリ表
    面は、TEOSを含み、更にここでTEOSは、エッチング液に晒された場合に
    関連エッチング速度を有する工程; 基板アセンブリ表面上に対し無機の反射防止コーティング材層を与える工程;
    および 無機の反射防止コーティング材層を、温度約400℃〜約1100℃の範囲で熱
    処理する工程であって、ここで熱処理された反射防止コーティング材層は、エッ
    チング液に晒された場合に関連エッチング速度を有し、更にここでエッチング液
    に晒された場合のTEOS:反射防止コーティング材層のエッチング速度の比が
    、少なくとも約3:1である工程。
  31. 【請求項31】 エッチング液に晒された場合のTEOS:反射防止コーテ
    ィング材層のエッチング速度の比が、少なくとも約10:1である、請求項30
    の方法。
  32. 【請求項32】 無機の反射防止コーティング材層が、Si:H
    である(式中、xは約0.39〜約0.65の範囲であり、yは0.25〜約0
    .56の範囲であり、かつzは約0.05〜約0.14の範囲である。)、請求
    項30の方法。
  33. 【請求項33】 Si:Hであり(式中、xは約0.39〜約0
    .65の範囲であり、yは0.25〜約0.56の範囲であり、かつzは約0.
    05〜約0.14の範囲である。) 、かつ更にエッチング液に晒された場合の
    無機の反射防止コーティング材層のエッチング速度が約16Å/分未満である、
    反射防止コーティング材層。
  34. 【請求項34】 エッチング液に晒した場合に、無機の反射防止コーティン
    グ材層のエッチング速度が約10Å/分未満である、請求項33の反射防止コー
    ティング材層。
  35. 【請求項35】 エッチング液に晒した場合に、無機の反射防止コーティン
    グ材層のエッチング速度が約5Å/分未満である、請求項33の反射防止コーテ
    ィング材層。
JP2000614488A 1999-04-26 2000-04-25 反射防止コーティング材層形成方法 Expired - Fee Related JP4397126B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/299,357 US6444588B1 (en) 1999-04-26 1999-04-26 Anti-reflective coatings and methods regarding same
US09/299,357 1999-04-26
PCT/US2000/011149 WO2000065639A1 (en) 1999-04-26 2000-04-25 Anti-reflective coatings and methods regarding same

Publications (2)

Publication Number Publication Date
JP2002543586A true JP2002543586A (ja) 2002-12-17
JP4397126B2 JP4397126B2 (ja) 2010-01-13

Family

ID=23154432

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000614488A Expired - Fee Related JP4397126B2 (ja) 1999-04-26 2000-04-25 反射防止コーティング材層形成方法

Country Status (7)

Country Link
US (1) US6444588B1 (ja)
EP (1) EP1177574B1 (ja)
JP (1) JP4397126B2 (ja)
KR (1) KR100612593B1 (ja)
AU (1) AU4663800A (ja)
DE (1) DE60044969D1 (ja)
WO (1) WO2000065639A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100950930B1 (ko) 2009-05-22 2010-04-01 동국대학교 산학협력단 태양전지 셀의 반사방지막 제조 장치 및 방법

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6121133A (en) 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US6294459B1 (en) 1998-09-03 2001-09-25 Micron Technology, Inc. Anti-reflective coatings and methods for forming and using same
US6821883B1 (en) 1998-11-25 2004-11-23 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US7061075B1 (en) 1998-11-25 2006-06-13 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US6255717B1 (en) * 1998-11-25 2001-07-03 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US7125783B2 (en) * 2001-04-18 2006-10-24 Integrated Device Technology, Inc. Dielectric anti-reflective coating surface treatment to prevent defect generation in associated wet clean
US6743726B2 (en) * 2002-07-11 2004-06-01 Promos Technologies, Inc. Method for etching a trench through an anti-reflective coating
DE10301291B3 (de) * 2003-01-15 2004-08-26 Infineon Technologies Ag Verfahren zum Einbringen von eine unterschiedliche Dimensionierung aufweisenden Strukturen in ein Substrat
US20050044894A1 (en) * 2003-08-29 2005-03-03 Douglas Nelson Deposition of silica coatings on a substrate
EP1680806A4 (en) * 2003-10-28 2008-07-30 Sachem Inc CLEANING SOLUTIONS AND MEDICAMENTS AND METHOD FOR THEIR USE
US7507521B2 (en) * 2004-08-09 2009-03-24 Intel Corporation Silicon based optically degraded arc for lithographic patterning
US7687225B2 (en) * 2004-09-29 2010-03-30 Intel Corporation Optical coatings
KR20060039571A (ko) * 2004-11-03 2006-05-09 동부일렉트로닉스 주식회사 금속 배선 형성 방법
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7576361B2 (en) * 2005-08-03 2009-08-18 Aptina Imaging Corporation Backside silicon wafer design reducing image artifacts from infrared radiation
JP4640047B2 (ja) * 2005-08-30 2011-03-02 沖電気工業株式会社 エッチング方法、金属膜構造体の製造方法およびエッチング構造体
DE602008003218D1 (de) * 2007-05-07 2010-12-09 Georgia Tech Res Inst Herstellung eines hochwertigen rückseitigen kontakts mit lokaler rückseitiger siebdruckfläche
US20090286349A1 (en) * 2008-05-13 2009-11-19 Georgia Tech Research Corporation Solar cell spin-on based process for simultaneous diffusion and passivation

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5690525A (en) 1979-11-28 1981-07-22 Fujitsu Ltd Manufacture of semiconductor device
US4451969A (en) * 1983-01-10 1984-06-05 Mobil Solar Energy Corporation Method of fabricating solar cells
JPS6159820A (ja) 1984-08-31 1986-03-27 Fujitsu Ltd 半導体装置の製造方法
US4764248A (en) 1987-04-13 1988-08-16 Cypress Semiconductor Corporation Rapid thermal nitridized oxide locos process
JPH0752673B2 (ja) 1989-01-18 1995-06-05 シャープ株式会社 薄膜el素子
US4921572A (en) * 1989-05-04 1990-05-01 Olin Corporation Etchant solutions containing hydrogen fluoride and a polyammonium fluoride salt
JPH03101147A (ja) 1989-09-13 1991-04-25 Toshiba Corp 半導体装置の製造方法
DE3941797A1 (de) 1989-12-19 1991-06-20 Leybold Ag Belag, bestehend aus einem optisch wirkenden schichtsystem, fuer substrate, wobei das schichtsystem insbesondere eine hohe antireflexwirkung aufweist, und verfahren zur herstellung des belags
US5677111A (en) 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5270241A (en) 1992-03-13 1993-12-14 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
DE4231312C2 (de) 1992-09-18 1996-10-02 Siemens Ag Antireflexschicht und Verfahren zur lithografischen Strukturierung einer Schicht
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
US5580815A (en) 1993-08-12 1996-12-03 Motorola Inc. Process for forming field isolation and a structure over a semiconductor substrate
JP3101147B2 (ja) 1994-05-02 2000-10-23 シャープ株式会社 複写機
US5441914A (en) 1994-05-02 1995-08-15 Motorola Inc. Method of forming conductive interconnect structure
US5374585A (en) 1994-05-09 1994-12-20 Motorola, Inc. Process for forming field isolation
US5418019A (en) 1994-05-25 1995-05-23 Georgia Tech Research Corporation Method for low temperature plasma enhanced chemical vapor deposition (PECVD) of an oxide and nitride antireflection coating on silicon
JPH0831811A (ja) 1994-07-15 1996-02-02 Sony Corp 半導体装置の素子分離領域の形成方法
JPH0831812A (ja) 1994-07-15 1996-02-02 Sony Corp 半導体装置の素子分離領域の形成方法
US5510271A (en) 1994-09-09 1996-04-23 Georgia Tech Research Corporation Processes for producing low cost, high efficiency silicon solar cells
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
JPH09134914A (ja) 1995-11-08 1997-05-20 Oki Electric Ind Co Ltd 素子分離領域の形成方法
US5716535A (en) * 1996-03-05 1998-02-10 Micron Technology, Inc. Methods and etchants for etching oxides of silicon with low selectivity
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US5994217A (en) 1996-12-16 1999-11-30 Chartered Semiconductor Manufacturing Ltd. Post metallization stress relief annealing heat treatment for ARC TiN over aluminum layers
US5796151A (en) 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
US5886391A (en) 1997-04-18 1999-03-23 Micron Technology, Inc. Antireflective structure
US6121133A (en) 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US6117741A (en) * 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6124178A (en) 1999-08-26 2000-09-26 Mosel Vitelic, Inc. Method of manufacturing MOSFET devices

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100950930B1 (ko) 2009-05-22 2010-04-01 동국대학교 산학협력단 태양전지 셀의 반사방지막 제조 장치 및 방법
WO2010134673A1 (ko) * 2009-05-22 2010-11-25 동국대학교 산학협력단 태양전지 셀의 반사방지막 제조 장치 및 방법

Also Published As

Publication number Publication date
KR20010104377A (ko) 2001-11-24
EP1177574B1 (en) 2010-09-15
AU4663800A (en) 2000-11-10
JP4397126B2 (ja) 2010-01-13
EP1177574A1 (en) 2002-02-06
KR100612593B1 (ko) 2006-08-17
DE60044969D1 (de) 2010-10-28
US6444588B1 (en) 2002-09-03
WO2000065639A1 (en) 2000-11-02

Similar Documents

Publication Publication Date Title
US6541843B2 (en) Anti-reflective coatings and methods for forming and using same
US6207583B1 (en) Photoresist ashing process for organic and inorganic polymer dielectric materials
US5624582A (en) Optimization of dry etching through the control of helium backside pressure
JP4397126B2 (ja) 反射防止コーティング材層形成方法
US6537918B2 (en) Method for etching silicon oxynitride and dielectric antireflection coatings
US8283258B2 (en) Selective wet etching of hafnium aluminum oxide films
US6365320B1 (en) Process for forming anti-reflective film for semiconductor fabrication using extremely short wavelength deep ultraviolet photolithography
US6620745B2 (en) Method for forming a blocking layer
US5522520A (en) Method for forming an interconnection in a semiconductor device
US6787455B2 (en) Bi-layer photoresist method for forming high resolution semiconductor features
US6271115B1 (en) Post metal etch photoresist strip method
US6969683B2 (en) Method of preventing resist poisoning in dual damascene structures
US20010005635A1 (en) Ashing method and method of producing wired device
KR100332109B1 (ko) 반도체 소자의 비아홀 형성 방법
US20020004300A1 (en) Ultra-thin resist coating qualityby by increasing surface roughness of the substrate
US20080102553A1 (en) Stabilizing an opened carbon hardmask
JPH09321053A (ja) 半導体装置及びその製造方法
US6903007B1 (en) Process for forming bottom anti-reflection coating for semiconductor fabrication photolithography which inhibits photoresist footing
US7199060B2 (en) Method for patterning dielectric layers on semiconductor substrates
JPH10189731A (ja) コンタクトホール形成方法
US7226875B2 (en) Method for enhancing FSG film stability
JPH0689883A (ja) 接続孔の形成方法
JPH02275627A (ja) 半導体装置の製造方法
JPH0513379A (ja) ドライエツチング方法
US20020042207A1 (en) Methods and compositions for removal of anti-reflective layers using fluorine containing compounds, oxidants, and water

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050506

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090721

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090825

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090929

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091020

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121030

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131030

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees