JP2002504752A - 化学的および物理的エッチバックを用いて間隙充填ケイパビリティを改良する方法と装置 - Google Patents

化学的および物理的エッチバックを用いて間隙充填ケイパビリティを改良する方法と装置

Info

Publication number
JP2002504752A
JP2002504752A JP2000532868A JP2000532868A JP2002504752A JP 2002504752 A JP2002504752 A JP 2002504752A JP 2000532868 A JP2000532868 A JP 2000532868A JP 2000532868 A JP2000532868 A JP 2000532868A JP 2002504752 A JP2002504752 A JP 2002504752A
Authority
JP
Japan
Prior art keywords
chamber
dielectric layer
etchback
layer
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000532868A
Other languages
English (en)
Inventor
スーニル ホン,
チュン, クン リュー,
マイケル, ピー. ノルト,
コーシャル, ケー. シン,
アンソニー ラム,
ヴィレンドラ, ヴィ. エス. ラナ,
アンドリュー コナーズ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002504752A publication Critical patent/JP2002504752A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Abstract

(57)【要約】 隣接金属ライン間の間隙を充填するために誘電体層を堆積するための方法と装置。その方法の好ましい実施の形態では、第1誘電体層がラインの上に堆積され、続いて化学的および物理的な両エッチバックステップを使用してエッチングされる。エッチバックステップが完了した後、間隙を充填するために第2誘電体層が第1誘電体層上に堆積される。

Description

【発明の詳細な説明】
【0001】 関連出願とのクロスリファレンス 本出願は、1997年2月20日に提出され、Soonil Hong, Choon Kun Ryu, M
ichael P. Nault, Kausbal K. Singh, Anthony Lam, Virendra V.S.Rana, Andr
ew Conners が共同発明者として記載された米国特許出願第08/803304 号、発明の名称「化学的および物理的エッチバックを用いて間隙充填ケイパビリ
ティを改良する方法と装置」、の一部継続出願である。
【0002】
【発明の背景】
本発明は、ウエーハ処理中の誘電体層の堆積に関し、より詳細には、間隙充填
ケイパビリティを更に向上させるために、化学的および物理的なエッチバックプ
ロセスを行うための方法と装置に関する。
【0003】 関連技術の背景 半導体デバイスの相互接続配線は、場合によっては狭くて間隔が接近した金属
ラインである金属導体で製作されるのが普通である。2層以上の金属導体を使用
すると、導体間の短絡あるいは他の異常を避けるために、金属層間への絶縁層の
堆積が必要となる。
【0004】 従って、近代の多層配線半導体デバイスの製造における主要なステップのひと
つは、これら絶縁層の形成であり、この絶縁層は、金属間誘電体層、つまりIM
D層と称されている。半導体基板上へIMD層を形成する主な方法のひとつはガ
スの化学反応によるものである。そのような堆積プロセスは化学的気相成長、つ
まり”CVD”と称されている。従来の熱CVDプロセスでは反応性ガスを基板
表面へ供給し、そこで熱誘導化学反応が所望の膜を生成する。層を堆積させるC
VDのもうひとつの方法はプラズマ強化CVD(PECVD)技術を含んでいる
。プラズマCVD技術は、反応性ガスを励起することによってプラズマを生成す
るために、高周波(RF)エネルギー等のエネルギーを与えることにより反応性
ガスの励起および/または解離を促進する。プラズマ中の核種の高い反応性が、
化学反応を生じさせるのに必要とされるエネルギーを減らし、それにより、その
ようなCVDプロセスに必要な温度を下げる。PECVDプロセスの相対的に低
い温度は、堆積された金属層上への絶縁層形成のために、そして他の絶縁層形成
のために、そのようなプロセスを理想的なものにする。
【0005】 半導体デバイスのジオメトリは、そのようなデバイスが最初に導入された数1
0年前以来、そのサイズを劇的に小さくしてきた。それ以来、集積回路はほぼ、
2年/ハーフサイズの法則(Moorの法則と呼ばれることが多い)に従ってき
たが、この意味するところは、ひとつのチップ上に納まるデバイスの数が2年ご
とに倍になるということである。今日のウエーハ製造プラントは、0.5μm、
そして0.35μmというフィーチャのデバイスさえも日常的に製造しており、
それが明日にはもう、もっと小さなジオメトリを持つデバイスを製造しているこ
とであろう。
【0006】 デバイスサイズが小さくなり、集積密度が高まるにつれ、以前には業界で重要
と考えられていなかった問題が大きな関心を集めるようになってきている。例え
ば、回路の密度が高まるにつれて隣り合う金属導体間の間隙は減少し、これが、
普通はアスペクト比と呼ばれる、隣り合う導体の高さの、間隔に対する比を高め
る原因となる。アスペクト比が高まると、堆積される絶縁層が、導体間の間隙に
形状追従しなくなり、そしてその間隙を完全には充填しないという問題が伴なっ
て発生する。こうして、絶縁層が堆積されるときに、望ましくないボイドが隣接
導体間の層の内部に形成されることがある。典型的には、隣り合う金属の垂直側
壁上部への誘電体堆積が、間隙の底部が充填される前に相互に接触してしまうと
きにボイドが形成される。
【0007】 この問題に対するひとつの解決策は、フッ素がドーピングされた酸化シリコン
膜を堆積することであり、これはフッ化シリコンガラス(FSG)膜と呼ばれて
いる。フッ素はエッチング核種であるので、フッ素ドーピングは、成長膜にエッ
チング効果を導入すると信じられている。この堆積/エッチングの同時効果が、
側壁頂部上への堆積を遅らせ、それによって上部が閉じる前に間隙底部の充填が
可能になる。
【0008】 間隙充填問題に対するもうひとつの解決策は、堆積/エッチバック/堆積、と
いう3ステップの実施である。この3ステッププロセスでは、絶縁層が先ず金属
層上へ部分的に堆積される。次いで、物理的エッチバックステップが行われ、そ
こでは堆積された絶縁層が、スパッタリングステップで、アルゴンまたはその類
似ガスによりボンバード(衝突)される。さもなければボイド形成につながるか
もしれない幾らか過剰な堆積を、アルゴンスパッタリングがエッチング除去する
。物理的エッチバックの完了後、第3ステップの堆積が完了する。
【0009】 この3ステップの堆積/エッチバック/堆積のプロセスは、多くの用途に適す
る、改善された間隙充填ケイパビリティを提供する。しかしながら、デバイスが
ますます小さくなるにつれ、より良好な間隙充填ケイパビリティがいくつかの用
途で求められる。従って、現行の誘電膜間隙充填ケイパビリティを更に改善する
方法と装置に対するニースがある。
【0010】 発明の概要 本発明は、改良された間隙充填ケイパビリティを有する絶縁層を提供する。改
良された間隙充填は、絶縁層の第1部分の堆積後に化学的および物理的な両エッ
チバックステップを使用することによって得られる。本発明はそのような層を製
造するための方法と装置も含む。
【0011】 本発明の方法によれば、誘電体材料の第1の層は、処理チャンバ内で基板上へ
堆積される。次いで、その層は化学的および物理的な両エッチバックステップを
含む2ステップのエッチングプロセスを用いてエッチバックされる。その2ステ
ップエッチバックプロセスが完了した後、誘電体材料の第2層が基板上に堆積さ
れて、間隙充填を完成させる。
【0012】 ひとつの実施の形態において、2ステップエッチバックプロセスは、物理的エ
ッチバックステップが続く最初の化学的エッチバックステップを含む。本発明に
よる方法の別の実施の形態では、第1の誘電体層は、化学的エッチバックが続く
物理的エッチバックを使用して、エッチバックされる。更に別の実施の形態では
、第1の誘電体層は2回以上の交互する化学的および物理的エッチバックステッ
プによってエッチバックされる。
【0013】 別の好ましい実施の形態では、第1と第2の誘電体層はFSG層であり、最も
好ましい実施の形態では、これらの層は、テトラエチルオルソシリケート(TE
OS)によって与えられるシリコンと、トリエトキシルフッ化シラン(TEFS
)によって与えられるフッ素を含むプロセスガスから堆積される。四フッ化炭素
(CF4)は化学的エッチバックステップ中のエッチングガスとして使われ、ア ルゴンは物理的エッチバックステップにおけるスパッタリング要素として用いら
れる。
【0014】 これらの、および他の本発明の実施の形態は、その多くの利点と特徴とともに 、本文中の以下詳細な説明と添付図面により、詳細に説明される。
【0015】
【好ましい実施の形態の詳細な説明】
I.CVDシステム例 本発明の方法が遂行され得るひとつの適切なCVD装置が、図1Aと図1Bに
示され、両図は、チャンバ壁15aとチャンバ蓋アセンブリ15bを含む、真空
或は処理チャンバ15を有するCVDシステム10の縦断面図である。チャンバ
壁15aとチャンバ蓋アセンブリ15bは、図1Cと図1Dに分解斜視図で示さ
れる。
【0016】 システム10は、処理チャンバ内中央にある加熱されるペデスタル12の上に
載る基板(図示せず)へ、プロセスガスを拡散させるためのガス分配マニホルド
11を含む。処理中は、基板(例えば半導体ウエーハ)はペデスタル12の平坦
な(あるいはわずかに湾曲した)表面12a上に位置決めされる。このペデスタ
ルは、低いローディング/オフローディング位置(図1Aに描かれている)と、
マニホルド11に近く隣接する上方の処理位置(図1Aに点線14で表示し、図
1Bにも示す)との間を制御可能に移動させることができる。センターボード(
図示せず)は、ウエーハの位置に関する情報を与えるためのセンサを含む。
【0017】 堆積ガスとキャリアガスは、従来の平坦で円形のガス分配面板13aの穿孔1
3b(図1D)を通じてチャンバ15へ導入される。より具体的には、堆積プロ
セスガスは入口側マニホルド11(図1Bに矢印40で示す)を通り、従来の穿
孔付ブロッカープレート42を通ってからガス分配面板13aの孔13bを通っ
て、チャンバへ流入する。
【0018】 マニホルドへ達する前に、堆積ガスとキャリアガスはガス供給ライン8を通じ
て、ガスが組み合わされる混合システム9へ入り、その後にマニホルド11へ送
られる。一般に、各プロセスガス供給ラインは、(i)チャンバへのプロセスガ スの流入を自動または手動で遮断するために使用可能な何個かの安全遮断弁(図
示せず)と、(ii)供給ラインを通るガスの流量を測定する質量流量コントロー ラ(図示せず)と、を含む。プロセス内で有毒ガスが使用される場合、従来の構
成内に何個かの安全遮断弁が各ガス供給ラインに配置される。
【0019】 リアクタ10内で行われる堆積プロセスは、熱プロセスであっても、プラズマ
強化プロセスであってもよい。プラズマ強化処理では、高周波(RF)電源44
は、ガス分配面板13aとペデスタル間に電気的パワーを加えることにより、面
板13aとペデスタル間の円筒形領域の内部にプラズマが形成されるように、プ
ロセスガス混合気を励起する(この領域のことを、本明細書中では”反応領域”
と呼ぶことになる)。プラズマの構成成分は反応して、ペデスタル12に支持さ
れた半導体ウエーハの表面上へ所望の膜を堆積する。RF電源44は、混成周波
数RF電源であり、典型的には、真空処理チャンバ15へ導入された反応核種の
分解を強化するため、13.56MHzの高いRF周波数(RF1)と、360
kHzの低いRF周波数(RF2)でパワーを供給する。
【0020】 堆積プロセス中、プラズマは、排気通路23を囲むチャンバ本体の壁15aと
閉止弁24を含め、プロセスチャンバ10全体を加熱する。プラズマ発生が成さ
れていないとき、チャンバを高温に維持するために、熱い液体がプロセスチャン
バの壁15aを通って循環させられる。チャンバ壁15aを加熱するために使用
される液体は、典型的な流体タイプ、すなわち、水をベースとしたエチレングリ
コール、あるいはオイルをベースとした熱伝達流体を含む。この加熱は、都合の
よいことに、望ましくない反応生成物の凝縮を減らしたり無くし、もし冷たい真
空路の壁に凝縮したり、ガスが流れていない間に移動して処理チャンバへ戻った
場合にプロセスを汚染するかもしれないプロセスガスの揮発生成物および他の汚
染物質をなくすことを改善する。
【0021】 反応生成物を含め、層に堆積されない残留ガス混合物は、チャンバから真空ポ
ンプ(図示せず)によって真空引きされる。具体的には、ガスは、反応領域を囲
むスロット形環状オリフィスを通じて、環状排気プレナム17へ排気される。環
状スロット16とプレナム17は、チャンバの円筒形側壁15a(壁にある誘電
性上側ライニング19を含む)の頂部と、円形チャンバ蓋20の底部との間にあ
る間隙によって画成される。スロットオリフィス16とプレナム17の、360
度にわたる円形の対称性と一様性は、ウエーハ上へ均質な膜を堆積させるために
、基板上方にプロセスガスの均一な流れを達成するのに重要である。
【0022】 排気プレナム17から、ガスは、排気プレナム17の横断方向に延びる部分2
1の下側を流れ、観測窓(図示せず)を通って、下方に延びるガス通路23を通
り、真空閉止弁24(その本体は低いチャンバ壁15aと一体である)を通って
、前ライン(図示せず)を通り外部真空ポンプ(図示せず)につながる排気出口
25へ流れる。
【0023】 ペデスタル12(好ましくはアルミニウム)の、ウエーハを支持するプラッタ
は、平行な同心円を成す完全な2巻きになるように構成されたシングルループの
埋込式ヒーター素子を用いて加熱される。ヒーター素子の外側の部分は、支持プ
ラッタの境界線に隣接して走っていて、他方、内側の部分は小さな半径の同心円
の経路上を走っている。ヒーター素子への配線はペデスタル12の脚を通ってい
る。
【0024】 典型的には、何れのチャンバライニングも、そしてガスの入口側マニホルド面
板、および様々な他のリアクターハードウェアも、アルミニウムやアルマイトの
材料から作られている。そのようなCVD装置の実施例は、Zhao他に発行さ
れた米国特許第5,558,717号、発明の名称「CVDプロセスチャンバ」
に記載されている。米国特許第5,558,717号は、本発明の譲受人である
Applied Material, Inc. に譲渡されており、その全体をここに引用することに
より組み込まれている。
【0025】 ウエーハが、ロボットブレード(図示せず)によって、チャンバ10の側面に
ある挿入/取出し開口部26を介して、チャンバ本体内へ搬入されまたはチャン
バ本体から搬出される際に、リフト機構とモータ32(図1A)はヒーターペデ
スタルアセンブリ12とそのウエーハリフトピン12bとを昇降させる。そのモ
ータ32は、処理位置14と、それより低いウエーハローディング位置との間で
、ペデスタル12を昇降する。供給ライン8、ガス配送システム、スロットル弁
32、RF電源44、およびチャンバと基板加熱システムに結合されているモー
タ、弁またはフローコントローラ20は全て、幾つかだけが図示されるコントロ
ールライン36上のシステムコントローラ34(図1B)によって制御される。
コントローラ34は光センサからのフィードバックに依存して、コントローラ3
4の制御のもとで適当なモータにより動かされるスロットル弁やペデスタルのよ
うな機械的可動アセンブリの位置を決める。
【0026】 上記は主として解説のためだけであり、範囲を制限していると考えられるべき
ものではない。他のCVD設備、例えば電子サイクロトロン共鳴(ECR)プラ
ズマCVD装置、誘導結合RF高密度プラズマCVD装置、または類似装置が使
用されてもよい。その上、上記システムの変形、例えばペデスタル設計、ヒータ
設計、RFパワー周波数、RFパワー結合の配置、などが可能である。例えば、
ウエーハはクオーツランプによって支持および加熱されることもできよう。本発
明の層およびそのような層を形成する方法は、何れの特定の装置にも、また何れ
の特定のプラズマ励起方法にも限定されるものではない。
【0027】 II.例示的エッチバックシステム 本発明の現行での好ましい実施の形態において、基板は真空シール下で、処理
チャンバ15から、化学的および物理的エッチバックプロセス用エッチバックチ
ャンバ41へ移送される。しかし、他の実施の形態では、in−situ プロセスと してひとつの基板処理チャンバ内で堆積とエッチバックの両ステップを遂行する
ことができる。
【0028】 図1Eは、本発明による化学的および物理的なエッチバックステップが遂行で
きるエッチバックチャンバ41を含む簡略化されたエッチバックシステム5のひ
とつの実施の形態の断面図を示す。図1Eに示すようにぺデスタル43は、基板
がエッチバックチャンバ41で化学的および物理的なエッチバックを受ける際に
、基板を支持する。リフトフィンガ45はウエーハを、ぺデスタル表面から、そ
して表面へ、ウエーハを昇降する。ベローズ47は、チャンバの完全な真空を維
持したまま、リフトシリンダ49からリフトフィンガ45への動きを伝達する。
ベローズ47はリフトシリンダ49の空圧による膨張により上方へ動く。リフト
アクチュエータ51にあるリターンスプリングは下方への移動を生じさせる。リ
フトシリンダ49はベローズ47を空気圧駆動し、リフトフィンガ45を3つの
可能な位置、つまり「エッチバック」、「解放」、あるいは「リフト上昇」へ移
動させる。3個のセンサと1つのフラグが位置基準点を定義する。位置センサは
調整可能なスライドマウント上にある。リフトアクチュエータ51は、リターン
スプリングでリフトフィンガ45を下降させ、リフトシリンダ49の上方への動
きをベローズ47へ伝達する。
【0029】 エッチバックチェンバ41は、化学的および物理的な両エッチバックがチャン
バ41内で実行されることができるので、エッチバック処理環境を取り囲む。物
理的エッチバックプロセスでは、エッチバックチェンバ41へ不活性ガスを導入
することによりスパッタリングを達成してもよい。この不活性ガスはガス分配プ
レート53を通して導入される一方、スロットル弁は、所望圧力に達するまで(
通常は1mTorrから700mTorr)真空引き速度を制御してもよい。R
FパワーはRF電源55から、その上にウエーハが位置決めされるペデスタル4
3へ供給される。RFパワーは電子を前後方向へ加速することにより、ガス分子
のイオン化を引き起こし、プラズマ状態を形成する。加えて、RFパワーは、プ
ラズマ中の負に帯電された電子の高い運動性に起因して、負の自己バイアス電圧
をウエーハ上に誘起する。負電圧は、イオンがウエーハの表面に衝突するように
、イオンを引き寄せて加速し、ウエーハの表面から原子のスパッタリングを引き
起こす。このように、ウエーハは表面原子のスパッタ除去によって、物理的にエ
ッチングされる。
【0030】 化学的エッチバック処理では、物理的エッチバックと類似する方法でプラズマ
が発生されるが、しかし、エッチバックは主としてCF4のような化学材料の使 用を通じて達成される。化学分子はプラズマによって、高い反応性を持つラジカ
ルへ分解され、これらラジカルは誘電体層と反応して揮発性化合物を形成し、そ
れら化合物はポンプ引きされて排出される。加えて、ラジカルが誘電体層と反応
する際に、その反応がバイアス電位の方向で発生するように、基板をわずかにバ
イアスしてもよい。コントローラ34はエッチバックチャンバ41のさまざまな
要素と作用を、チャンバ15が制御される方法と同様の方法で、コントロールラ
イン36上で制御する。
【0031】 上記は主として解説のためだけであり、範囲を制限していると考えられるべき
ものではない。上記システムの変形、例えばペデスタル設計、ヒータ設計などが
可能である。本発明は、何れの特定のエッチング装置にも、また何れの方法にも
限定されるものではない。
【0032】 III. 処理システムのコンピュータ制御 1つの特定の実施の形態において、共通のシステムコントローラ34は、CV
Dシステム10とエッチバックシステム5の両方のアクティビティ全てを制御す
る。しかし、当該技術に精通する者は、個別のシステムコントローラが使用でき
ること、つまりひとつはCVDシステム10用であり、他方はエッチバックシス
テム5用であることを理解するであろう。
【0033】 好ましい実施の形態において、システムコントローラは、ハードディスクドラ
イブ(記憶装置38)、フロッピーディスクドライブおよびプロセッサ37を含
む。ピロセッサは、シングルボードコンピュータ(SBC)、アナログとディジ
タルの入力/出力ボード、インターフェースボード、ステッパーモーターコント
ローラボードを含む。CVDシステム10の種々の部品は、ボード、カードケー
ジ、そしてコネクタの寸法とタイプを定義する Versa Modular Europeans (V ME)規格に準拠する。VME規格は、16ビットデータバスと24ビットアド
レスバスを有するバス構造も定義している。
【0034】 システムコントローラ34は、CVDとエッチバックシステムの全アクティビ
ティを制御する。システムコントローラはシステム制御ソフトウェアを実行し、
このソフトウェアは、メモリ38のようなコンピュータで読取可能媒体に格納さ
れたコンピュータプログラムである。好ましくは、メモリ38はハードディスク
ドライブであるが、メモリ38は他の種類のメモリであってもよい。このコンピ
ュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、
RFパワーレベル、ペデスタル位置、および他の特定プロセスのパラメータの命
令セットを含む。もちろん、例えば、フロッピーディスクや他の適当なドライブ
を含め、他のメモリ装置に格納された他のコンピュータプログラムを使ってコン
トローラ34を動作させてもよい。
【0035】 ユーザーとプロセッサ34との間のインタフェースは、図1Fに示すCRTモ
ニタ50aとライトペン50bを介するが、この図は、一つ以上のチャンバを含
むマルチチャンバシステムにおけるシステムモニタとCVDシステム10の簡略
図である。好ましい実施の形態においては、2台のモ二ター50aが使用され、
一方はオペレータ用にクリーンルーム壁内に設置され、他方はサービス技術者用
に壁の裏側に設置される。両モニタ50aは、同一情報を同時に表示するが、1
本のライトペン50bだけが使用可能である。ライトペン50bの先端内にある
光センサーは、CRTディスプレイによる放射光を検知する。特定の画面、また
は機能を選択するために、オペレータは表示画面の指定領域に触れて、ペン50
bのボタンを押す。触れられた領域は、色が強調されるか、または新しいメニュ
ーまたは新しい画面が表示されて、ライトペンと表示画面との間の通信を確認す
る。勿論、ライトペン50bの代わりに、またはそれに追加して、キーボード、
マウス、その他のポインティングデバイスまたは通信デバイス等の他の装置を使
用して、ユーザーがプロセッサ34と通信を行えるようにしてもよい。
【0036】 膜を堆積してエッチングするためのプロセスは、プロセッサ34によって実行
されるコンピュータプログラムプロダクトを使用して行うことができる。コンピ
ュータプログラムコードは、68000アセンブリ言語、C、C++、パルカル
、フォートラン等の、コンピュータ読取可能な任意の従来のプログラム言語で書
くことができる。適切なプログラムコードは、従来のテキストエディタを使用し
て、単一ファイル、または多数ファイルの中へ書き込まれ、コンピュータの記憶
装置システム等のコンピュータが使用可能な媒体内に記憶、つまり内蔵される。
書込まれたコードテキストが高レベル言語である場合は、そのコードはコンパイ
ルされ、次に、結果としてコンパイラコードは、予めコンパイルされたウィンド
ウズ( HYPERLINK mailto:Windows@ Windows@)ライブラリールーチンのオブジ ェクトコードとリンクされる。コンパイルされてリンクしたオブジェクトコード
を実行するために、システムユーザーはオブジェクトコードを呼出し、結果とし
てコンピュータシステムがコードを記憶装置内にロードするようにさせ、この記
憶装置から、CPUはコードを読み取って実行し、プログラム内で識別されるタ
スクを実施する。
【0037】 図1Gは、具体的な実施の形態による、システム制御ソフトウェア、即ちコン
ピュータプログラム70の階層制御構造の説明用ブロック図を示す。ライトペン
インタフェースを使用して、ユーザーはCRTモニタ上に表示されるメニューま
たは画面に応じて、プロセスセット番号とプロセスチャンバ番号をプロセスセレ
クタサブルーチン73へ入力する。プロセスセットは、特定されたプロセスを実
行するのに必要なプロセスパラメータの所定セットであって、予め定義されたセ
ット番号によって識別される。プロセスセレクタサブルーチン73は、所望のプ
ロセスを実施するために、(i)所望のプロセスチャンバと、(ii)前記プロ
セスチャンバを運転するために必要とされる所望のプロセスパラメータのセット
とを識別する。特定プロセスを実施するためのプロセスパラメータは、例えば、
プロセスガスの組成と流量、温度、圧力、RFパワーレベルと低周波RF周波数
等のプラズマ条件、冷却ガス圧力、チャンバ壁温度などのプロセス条件に関連す
る。これらのパラメータは、レシピ形式でユーザーに提供され、ライトペン/C
RTモニターインタフェースを利用して入力される。
【0038】 プロセスをモニタするための信号は、システムコントローラのアナログとディ
ジタルの入力ボードによって提供され、プロセスを制御するための信号は、CV
Dシステム10のアナログとディジタルの出力ボード上に出力される。
【0039】 プロセスシーケンサーサブルーチン75は、プロセスセレクタサブルーチン7
3からの識別されたプロセスチャンバとプロセスパラメータのセットとを受け入
れるための、そして種々のプロセスガスチャンバの運転を制御するための、プロ
グラムコードを備える。多数のユーザーが、プロセスセット番号とプロセスチャ
ンバ番号を入力でき、あるいは、一人のユーザーが多数のプロセスセット番号と
プロセスチャンバ番号を入力できるので、シーケンサーサブルーチン75は、選
択されたプロセスを所望のシーケンス内にスケジューリングするように動作する
。シーケンサーサブルーチン75は、(i)チャンバが使用中であるか否かを判
断するために、プロセスチャンバの運転をモニタするステップと、(ii)使用
中のチャンバ内で何のプロセスが実行中であるか判断するステップと、(iii
)プロセスチャンバの使用可能性および実行すべきプロセスの形式に基いて所望
プロセスを実行するステップとを実施するためのプログラムコードを含むのが好
ましい。プロセスチャンバをモニタする、ポーリング等の従来方法を使用するこ
とができる。どのプロセスを実行すべきかをスケジューリングする時は、使用さ
れているプロセスチャンバの現在の条件と選択されたプロセスの所望条件との比
較、或は各々の特定ユーザーによってが入力されたリクエストの「年齢」、或は
スケジューリング優先度を決定するために、システムプログラマが望んで含めた
任意のその他関連要因を考慮して、シーケンサーサブルーチン75を設計するこ
とができる。
【0040】 一旦、シーケンサーサブルーチン75は、どのプロセスチャンバとプロセスセ
ットの組合せが次に実行されるのを決定すると、シーケンサーサブルーチン75
は、それによって決定されたプロセスセットに従って、プロセスチャンバ15内
の多数処理タスクを制御するチャンバーマネジャーサブルーチン77a〜cへ、
特定のプロセスセットパラメータを送ることによって、プロセスセットを実行さ
せる。例えば、チャンバーマネジャーサブルーチン77aは、プロセスチャンバ
15内のCVDプロセス運転を制御するためのプログラムコードを備えるが、チ
ャンバーマネジャーサブルーチン77cは、エッチバックチャンバ41内のエッ
チバックプロセス運転を制御するためのプログラムコードを備える。チャンバー
マネジャーサブルーチン77は、選択されたプロセスセットを実行するのに必要
なチャンバ構成要素の運転を制御する種々のチャンバ構成要素サブルーチンの実
行も制御する。チャンバ構成要素サブルーチンの例には、基板位置決めサブルー
チン80、プロセスガス制御サブルーチン83、圧力制御サブルーチン85、ヒ
ーター制御サブルーチン87、そして、プラズマ制御サブルーチン90がある。
当業者は、プロセスチャンバ15およびエッチバックチャンバ15内で実施した
いプロセスによっては、他のチャンバ制御サブルーチンを含むことができること
を容易にわかるであろう。運転中、チャンバーマネジャーサブルーチン77aは
、実行中の特定プロセスセットに従って、プロセス構成要素サブルーチンを選択
的にスケジューリングするか、または呼び出す。チャンバーマネジャーサブルー
チン77a或は77cは、シーケンサーサブルーチン75がどのプロセスチャン
バ15とプロセスセットを次に実行するかをスケジューリングする方法と同様に
、プロセス構成要素サブルーチンをスケジューリングする。通常、チャンバーマ
ネジャーサブルーチン77a或は77cは、種々のチャンバ構成要素をモニタす
るステップと;実行すべきプロセスセットのプロセスパラメータに基いて、運転
する必要のある構成要素はどれかを決定するステップと;上記モニタステップと
決定ステップに応じて、チャンバ構成要素サブルーチンを実行させるステップと
を含む。
【0041】 チャンバーマネジャーサブルーチン77aの特定チャンバ構成要素サブルーチ
ンの動作について、図1Gを参照して、ここに説明する。基板位置決めサブルー
チン80は、基板をサセプタ12上へローディングするため、そして、任意では
あるが、チャンバ15内で基板を所望の高さまで上げて、基板とガス分配マニホ
ールド11との間の間隙を制御するために使用される、チャンバ構成要素を制御
するためのプログラムコードを備える。基板が、プロセスチャンバ15内にロー
ディングされる時、サセプタ12は下降して基板を受け、その後、サセプタ12
はチャンバ内で所望の高さに上昇して、CVDプロセス中、ガス分配マニホール
ドからの第一の距離或は間隙のところに基板を維持する。運転中は、基板位置決
めサブルーチン80は、チャンバーマネジャーサブルーチン77aから転送され
る支持高さに関するプロセスセットパラメータに応答して、サセプタの動きを制
御する。また、基板位置決めサブルーチン80は、2ステップエッチバックプロ
セスが実行される後、例えば、エッチバックチャンバ41からチャンバ15内へ
あるいはチャンバ15からの基板移送を制御してもよい。
【0042】 プロセスガス制御サブルーチン83は、プロセスガス組成と流量を制御するた
めのプログラムコードを有する。プロセスガス制御サブルーチン83は、安全遮
断バルブの開閉位置を制御し、所望のガス流量を得るために質量流量コントロー
ラを上下に傾斜させる。プロセスガス制御サブルーチン83は、全てのチャンバ
構成要素サブルーチンと同様に、チャンバーマネジャーサブルーチン77aによ
って呼び出されて、チャンバーマネジャーサブルーチンから、所望のガス流量に
関連するプロセスパラメータを受ける。通常、プロセスガス制御サブルーチン8
3は、ガス供給ラインを開いて、(i)必要な質量流量コントローラを読むこと
、(ii)読取値を、チャンバーマネジャーサブルーチン77aから受けた所望
の流量と比較すること、(iii)必要に応じてガス供給ラインの流量を調整す
ることを、繰り返すことにより、動作する。更に、プロセスガス制御サブルーチ
ン83は、安全でない量に関してガス流量をモニタするステップと、安全でない
状態が検知された時に安全遮蔽バルブを作動させるステップとを含む。
【0043】 幾つかのプロセスにおいて、ヘリウムやアルゴン等の不活性ガスは、反応性プ
ロセスガスがチャンバ15へ導入される前に、チャンバへ流入されてチャンバ内
の圧力を安定化する。これらのプロセス用に、プロセスガス制御サブルーチン8
3は、チャンバ内の圧力安定化に必要な時間で不活性ガスをチャンバ15へ流入
させるステップを含むようにプログラムされており、そして上記のステップが実
行される。さらに、プロセスガスが、例えばTEOSのような液体前駆体から気
化されるべき場合、プロセスガス制御サブルーチン83は、ヘリウムのようなデ
リバリガスをバブラーアセンブリ内の液体前駆体を通して泡立たせるステップ、
あるいはヘリウムのようなキャリヤーガスを液体噴射システムに導入するステッ
プを含むように書かれるであろう。この種類のプロセスにバブラーが用いられる
場合、プロセスガス制御サブルーチン83は、所望のプロセスガス流量を得るた
めに、デリバリガスの流れ、バブラー内の圧力およびバブラー温度を規制する。
上記で検討したように、所望のプロセスガス流量が、プロセスパラメータとして
、プロセスガス制御サブルーチン83へ転送される。更に、プロセスガス制御サ
ブルーチン83は、与えられたガス流量にとって必要な値を含む記憶テーブルに
アクセスすることによって、所望のプロセスガス流量にとって必要な、デリバリ
ガス流量、バブラー圧力、およびバブラー温度を獲得するステップを含む。一旦
、必要な値が獲得されると、デリバリガス流量、バブラー圧力、バブラー温度が
モニタされ、必要な値と比較され、それに応じて調整される。
【0044】 圧力制御サブルーチン85は、チャンバの排気システム115内のスロットル
バルブの開口サイズを調節することによってチャンバ15内の圧力を制御するた
めのプログラムコードを備える。スロットルバルブの開口サイズは、プロセスガ
ス総流量と、プロセスチャンバの大きさと、排気システム115のポンプ設定点
圧力とに関連して、チャンバ圧力を所望レベルに制御するよう設定される。圧力
制御サブルーチン85が呼び出されると、所望の或は目標の圧力レベルを、チャ
ンバーマネジャーサブルーチン77aからのパラメータとして受け取る。圧力制
御サブルーチン85は作動して、チャンバに接続された1つ以上の従来型圧力計
を読んでチャンバ15内の圧力を測定し、その測定値を目標圧力と比較し、目標
圧力に対応する記憶された圧力テーブルからPID(比例と積分と微分)値を得
て、圧力テーブルから得たPID値に従いスロットルバルブを調整する。或は、
圧力制御サブルーチン85は、スロットルバルブを特定の開口サイズで開閉して
、チャンバ15を所望の圧力に調節するように書くこともできる。
【0045】 ヒーター制御サブルーチン87は、基板20を加熱するのに使用される加熱ユ
ニットへの電流を制御するためのプログラムコードを備える。ヒーター制御サブ
ルーチン87も、チャンバーマネジャーサブルーチン77aによって呼び出され
、目標の、或は設定された温度パラメータを受け取る。ヒーター制御サブルーチ
ン87は、サセプタ12A内に配置される熱電対の電圧出力を測定することによ
って温度を測定し、測定温度を設定温度と比較し、加熱ユニットへ加える電流を
増減して目標温度を得る。記憶された変換テーブルに対応温度を調べるか、また
は4次多項式を使用して温度を算出することによって、測定電圧から温度が得ら
れる。サセプタ12aの加熱に埋込式ループを使用する場合、ヒーター制御サブ
ルーチン87は、ループに印加する電流を徐々に上げか下げるかして調節する。
該徐々の上げり/下げりはランプの寿命および信頼性を増加する。更に、内蔵フ ェイルセイフモードが、プロセスの安全性コンプライアンスを検知するために含
まれることができ、プロセスチャンバが正しくセットアップされていない場合、
加熱ユニットの作動を停止させることもできる。
【0046】 プラズマ制御サブルーチン90は、チャンバ15内の上部電極に印加される高
周波および低周波RFパワーレベルを設定し、利用される低周波RF周波を設定
するためのプログラムコードを備える。エッチバックチャンバが用いられる場合
、プラズマ制御サブルーチン90は、さらに、エッチバックチャンバのためにR
Fパワーレベルを設定する。既述のチャンバ構成要素サブルーチンと同様に、プ
ラズマ制御サブルーチン90は、チャンバーマネジャーサブルーチン77aによ
って呼出される。
【0047】 IV. 化学的および物理的エッチバックを使用したFSG層の堆積 本発明は、上記例示的CVDシステム10のような基板処理システム内で堆積
された層の間隙充填を改良する2ステップエッチングプロセスを提供する。その
ようなシステム内で誘電体層を堆積するために用いられる現行プロセスは、多く
の異なる用途に受け入れられる間隙充填ケイパビリティを持つだろう。しかしな
がら、(例えば、隣り合う金属ラインのアスペクト比が2以上で、隣り合うゲー
ト間の間隔が0.5μm以下の)幾つかの応用は、より良好な間隙充填特性を必
要とする。これらの応用では、誘電体層がラインの上に堆積された後、金属ライ
ン間にボイド存在するかもしれない。これらのボイドは本発明の2ステップエッ
チングプロセスを用いて除去されるだろう。
【0048】 本発明の好ましい実施の形態では、誘電体層は、最初の化学的エッチバックス
テップと、それに続く物理的エッチバックステップとを含む2ステップエッチバ
ックシーケンスを使用してエッチングされる。或は、そのエッチバックシーケン
スは、化学的エッチバックが後に続く物理的エッチバックステップを含んでもよ
い。もうひとつの好ましい実施の形態では、エッチバックシーケンスは多数回交
互する化学的および物理的なエッチバックステップを含んでもよい。エッチバッ
クシーケンスが完了すると、第2の堆積ステップが用いられて間隙充填プロセス
が完了する。
【0049】 本発明を図解するために、改良された上記エッチバックシーケンスを、トリエ
トキシルフルオロシラン(TEFS)ベースのFSG層の堆積とともに、以下詳
細に説明する。しかしながら、本発明はそのような層のエッチバックに制限され
るものではなく、他のFSG層および他の誘電体層の堆積に関連して使用されて
もよい。
【0050】 本発明によれば、良好な間隙充填ケイパビリティを有する安定した誘電体層を
形成するために、ウエーハは真空ロック扉を通って処理チャンバ15にローディ
ングされ、ペデスタル12に戴置される(図2A、ステップ200)。それから
、ペデスタルは処理位置14に移動される(ステップ205)。処理位置14で
、ウエーハはガス分配マニホルド11から約180〜280ミルのところに位置
決めされる。ウエーハはガス分配マニホルド11から、好ましくは約230ミル
のところに位置決めされる。
【0051】 一旦ウエーハが適切に位置決めされると、ウエーハとペデスタルは約350〜
500℃の温度まで加熱され、プロセスガスがガス分配マニホルドから処理チャ
ンバに導入される(ステップ210および215)。プロセスガスは、好ましく
は、フッ素ソースとしてのTEFSと、シリコンソースとしてのTEOSと、1
つ以上の酸素のガスソースとからなる混合物である。
【0052】 O2の形態である酸素あるいはそれに類似するソースは、好ましくは約110 0〜1300sccmの流量でチャンバに導入される。室温で液体のTEOSは
、液体噴射弁或は類似なものによって気化され、ヘリウムのような不活性キャリ
アガスと組み合わされる。噴射弁へのTEOSの流量は約100〜1000mg
mであり、好ましい範囲は約500〜600mgmである。TEFSも室温では
液体である。噴射弁への流量は約500〜1500mgmであり、好ましい範囲
は約900〜1000mgmである。気化後、TEOSとTEFSガスソースは
、約460〜660sccmの流量で導入されるヘリウムキャリアガスと混合さ
れる。
【0053】 システムチャンバ内に約3〜7torrの選択された圧力がセットされ、真空
ポンプシステムおよびプロセスガスの導入とともにスロットル弁32によって、
堆積全期間にわたりその圧力が保たれる(図2A、ステップ220)。処理条件
がセットされた後、単一あるいは混成周波数のRF電源を使用してプラズマが形
成される(ステップ225)。好ましい実施の形態では混成周波数のRFパワー
が使用され、その電源は高い周波数が13.56MHzで約400〜500W(
約0.55〜0.69W/cm2のパワー密度)で駆動され、低い周波数が約3 50kHzで約80〜180W(約0.11〜0.34W/cm2のパワー密度 )で駆動される。
【0054】 本発明の方法の好ましい実施の形態では、TEFSベースのFSGの第1層は
以下のプロセスパラメータに従って堆積されることができる(図2A、ステップ
230)。ウエーハはガス分配マニホルドから約230ミルのところに位置決め
される。一旦ウエーハが適切に位置決めされると、ウエーハとペデスタルは約4
40℃に加熱され、チャンバ圧力は約5torrに保たれる。O2がガス分配マ ニホルドから約1180sccmの流量で処理チャンバに導入される。TEOS
とTEFSは噴射弁へそれぞれ約550mgmと960mgmで流し込まれ、気
化後に約560sccmの流量で導入されたヘリウムキャリアガスと混合される
。処理条件がセットされた後、混成周波数RF電源を使用してプラズマが形成さ
れる。この電源は、高い周波数が13.56MHzで約450W(約0.61W
/cm2のパワー密度)、低い周波数が約350kHzで約130W(約0.1 7W/cm2のパワー密度)で駆動される。
【0055】 本発明の方法によるもうひとつの好ましい実施の形態において、TEFSベー
スFSGの第1層は、上記プロセスパラメータにしたがって堆積されてもよいが
、次の例外がある。すなわちウエーハとペデスタルは約400℃の温度に加熱さ
れ、TEFSは約900mgmで噴射弁に流し込まれ、そして電源は、低い周波
数が約350KHzで約200W(約0.27W/cm2のパワー密度)で駆動 される。
【0056】 図2Bは、例えば金属ライン252と254のアスペクト比が2.0以上で、
金属ライン252と254の間の間隔が約0.5μm以下であるときの、第1の
堆積ステップ(膜256)のあとに存在するかもしれない小さなボイド250の
簡略化された側断面図である。ボイド250を充填するために、膜256はまず
本発明の2ステッププロセスを使用してエッチバックされ、ついで第2のTEF
S層が膜256上へ堆積される。
【0057】 エッチバックプロセスの第1ステップにおいて、CF4あるいは類似のエッチ ングガスが、第1のTEFSベースのFSG層を化学的にエッチバック(図2A
,ステップ235)するために、処理チャンバ15、もしくは別体のエッチバッ
クチャンバ41へ流し込まれ、こうしてボイド250を露出させる。好ましい実
施の形態において検討したように、基板は真空シール下で処理チャンバからエッ
チバックチャンバ41へ、化学的および物理的なエッチバックプロセスのために
移動される。好ましくは、CF4はチャンバ41へ約5〜100sccmの流量 で約50〜150秒間流し込まれる。最も好ましくは、CF4は約50sccm の流量で約86秒間エッチバックチャンバへ流し込まれる。エッチバックチャン
バ圧力は、好ましくは50〜150mTorr、RF電源は、好ましくは高い周
波数が約13.56MHzで約600〜750W(約3.29〜4.11W/c
2のパワー密度)で駆動され、エッチング速度は、好ましく、約4000〜6 000Å/分である。
【0058】 図2Cは、層256が化学的エッチバックを受けた後の誘電体材料256の第
1層の、簡略化された側断面図である。図2Cに見られるように、化学的エッチ
バックステップは、金属ライン間にあるボイド250を露出させる。しかし、露
出境界260は鋭くて狭い。従って、化学的エッチバックステップのみを終えて
誘電体層の堆積を完了するのでは、鋭くて狭い露出部260の充填が難しいので
、効果が無いであろう。
【0059】 図2Dは、層256が化学的および物理的なエッチバックを受けた後の誘電体
層256の、簡略化された側断面図を示す。図2Dを参照すると、物理的エッチ
バックステップ(図2A、ステップ240)を化学的エッチバックステップに続
けると、化学的エッチバックステップによって造られた鋭くて狭い露出部260
を広げて滑らかにするので有利である。露出部260の境界が広げられ、滑らか
にされるので、それは次の堆積ステップによってより容易に充填される。
【0060】 物理的エッチバックステップ自体は、スパッタリング単独では金属ライン頂部
の下にあるボイドには到達できないので、本発明の化学的および物理的なエッチ
バックプロセスの組み合せのような効果は無い。加えて、化学的エッチバックプ
ロセスは、物理的なエッチバックプロセスより早いエッチング速度で堆積層をエ
ッチングする。それゆえ、物理的および化学的な両プロセスを含むエッチバック
ステップは、全体により早いエッチング速度を持つながら、緩やかな勾配で露出
部を生じさせるので、有利である。従って、2ステップエッチバックプロセスは
、従来の1ステップエッチバック方法に比べて、後続の堆積層の間隙充填ケイパ
ビリティを実質的に改善する。一般的に、物理的エッチバックプロセスは化学的
エッチバックプロセスに続くのが好ましいが、場合によっては、物理的エッチバ
ックプロセスが化学的エッチバックプロセスの前に来てもよい。
【0061】 物理的エッチバックステップはアルゴンスパッタリングを通じて達成できるが
、他のガスを使用してもよい。アルゴンスパッタリングは、処理チャンバ15内
で遂行されるてもよい。つまり、既述のように、エッチバックチャンバ41の中
で遂行されるのが好ましい。アルゴンは、処理チャンバまたはエッチバックチャ
ンバへ約5〜100sccmの流量で約200〜350秒間にわたり導入されて
もよい。アルゴンは、約50sccmで約272秒間にわたりエッチバックチャ
ンバに流し込まれるのが好ましい。物理的エッチバックプロセス用エッチバック
チャンバ内の圧力は、好ましくは5〜50mTorr、RF電源は、好ましくは
高い周波数が約13.56MHzで約300〜400W(約1.64〜2.19 W/cm2のパワー密度)で駆動され、エッチング速度は、好ましくは約150 〜300Å/分である。
【0062】 物理的エッチバックステップの完了後、暴露部を充填して表面を平坦化にする
ために、第2誘電体層が堆積されてもよい。(図2A、ステップ245)。別体
のエッチバックチャンバ内でエッチバックステップが行われる場合、最後の堆積
ステップが行われるように、好ましくは真空シールの下で、基板が、エッチバッ
クチャンバから処理チャンバへ戻される。この第2層は、FSG層、非ドープ珪
酸ガラス(USG)層、あるいは他の誘電体層であってよい。他のFSG膜が第
1誘電体層として使用されてもよいが、既述したようにTEFSベースのFSG
膜が好ましい。これは、フッ素ソースとしてTEFSを使用する膜の方が、一般
に、他の化学的ソースを用いる膜よりも良好な間隙充填ケイパビリティを持って
いるからである。本発明による方法は、堆積された第1の誘電体層が良好な間隙
充填ケイパビリティを持っている場合、エッチバックはそのような膜に使用され
るときに間隙充填に対して最大効果を持つので、特に有効である。他方、第2誘
電体層の間隙充填ケイパビリティは、化学的および物理的なエッチバックが金属
ライン間のどのような間隙も第2層によって十分に充填されることを確保するほ
どまで決定的ではない。
【0063】 第1と最後の堆積ステップ間における化学的および物理的プロセスの回数は、
金属ライン間にあるボイドの位置、金属ライン間の距離、および金属ラインのア
スペクト比によって異なるであろう。例えば、ボイドが金属ライン上面のずっと
下にある場合、あるいは金属ラインのアスペクト比が特に高い場合、多数回にわ
たる化学的および物理的エッチバックプロセスが、ボイドをより良好に露出させ
るために、そして膜の間隙充填ケイパビリティを更に向上するために、交互して
行われる。
【0064】 図3Aは、本発明の方法によって堆積された誘電体膜の側断面図を示す。図3
Aに見られるように、堆積された第1誘電体層301は金属ライン300の上に
堆積されている。層301は上記の好ましいプロセスに従って堆積され、引き続
き上記の化学的および物理的にエッチバックされたものである。図示のように、
第2誘電体層303は金属ラインの間の露出部を効果的に充填し、表面を平坦化
する。
【0065】 図3Bは、4ステッププロセス、すなわち、堆積ステップ、それに続く、化学
的および物理的エッチバックプロセスを含むエッチバックステップ、それに続く
最終堆積ステップ、を使用して堆積された誘電体層の間隙充填ケイパビリティを
示す顕微鏡写真である。写真図で見られるように、小さなボイド305が金属ラ
イン間に依然として存在する。これは、図3Bの誘電体層がアスペクト比2.0
、間隔0.45μmの金属ライン上に堆積されたからである。用途によっては、
ボイド305はその存在が許容できるほど十分に小さい。そのような場合、誘電
体層の間隙充填ケイパビリティは、ボイドが完全に充填されなくても十分である
。他方、幾つかの用途では、ボイド305は完全に充填される必要がある。その
ような用途では、図3Bの誘電体層の間隙充填ケイパビリティは、図3Bで示さ
れる層堆積で用いられる1回の化学的および物理的エッチバックプロセスの代わ
りに、エッチバックステップにおいて多数回の化学的および物理的エッチバック
プロセスを用いることによって、更に改善されるであろう。エッチバックステッ
プにおける多数回の化学的および物理的エッチバックプロセスを使用することに
よって、アスペクト比が2.0、おそらく3.0かそれ以上までで、間隙充填ケ
イパビリティが0.5μm未満が達成できる。
【0066】 図3Cも、アスペクト比1.6で間隙幅が0.8μm図3Cに示す誘電体層を
除き、図3Bに示す膜の堆積に使用される4ステッププロセスを用いて堆積され
た誘電体層の間隙充填ケイパビリティを示す顕微鏡写真である。図3Cを参照す
ると、金属ライン間にボイドが存在しないことが分かる。従って、多数回の化学
的および物理的エッチバックプロセスは、1回の化学的エッチバックと1回の物
理的エッチバックを含むプロセスにより金属ライン間の間隙が効果的に充填され
ているので、図3Cの誘電体層の効果的な間隙充填のためには必要ではない。
【0067】 図3D〜Eは、本発明の利点によらず堆積された誘電体層を示す顕微鏡写真で
ある。図3Dと3Eの誘電体層は、1回の物理的エッチバックステップを使用し
て堆積されて、エッチバックされたものである。図から分かるように、図3Bの
金属ライン間の間隙幅とラインのアスペクト比は図3Dの場合と同じであるが、
図3Dの誘電体層は金属ライン間に、より大きなボイド315を持っている。加
えて、図3Cの発明の方法によって堆積された誘電体層は金属ライン間にボイド
をないが、図3Eにおける本発明の利点によらず、金属ライン上に堆積されて同
じ寸法(間隙幅0.8μm、アスペクト比1.6)を有する誘電体層は、金属ラ
イン間にボイド325を持つ。さらに、ボイド325はかなり大きい。
【0068】 上で検討したTEFS膜のための種々のガスの導入流量の全数値は、200m
mウエーハのために用意され、Applied Materialsによって製作された抵抗加熱
式DxZチャンバに基づき、他方、エッチバックステップのためのガス導入流量
の数値は、200mmウエーハのために用意され、Applied Materials によって
製作されたMarkII Sputterチャンバに基づいている。容積が異なるチャンバー、
あるいは他のメーカーが製造したチャンバの使用は、異なるガス導入流量が結果
として得られるであろう。従って、上記プロセスにおけるパラメータのリストは
、本願記載の請求項を制限するものではない。当該技術に普通に精通する者は他
の化学材料、チャンバーパラメータ、および条件を使用することができる。この
ように、上記内容は説明であって、制限的なものではない。単に実施例によって
、本発明が、シリコンのソースとしてのTEOS、酸素のソースとしてのO2を 使用するプロセスを特に参照して図解されているが、シラン等のシリコンソース
、N2O、COまたは他の酸素ソースを使用することも可能である。加えて、本 発明は、隣り合う金属ライン間の間隙を充填することに関して記載されているが
、他の突出構造間の間隙を充填することも可能である。従って、本発明の範囲は
、上記記載だけを参照して判断するのではなく、付帯した特に請求の範囲を参照
して、均等論の全範囲に沿って判断されるべきである。
【図面の簡単な説明】
【図1A】 本発明によるCVD装置のひとつの実施の形態の縦断面図である。
【図1B】 本発明によるCVD装置のひとつの実施の形態の縦断面図である。
【図1C】 図1Aに示すCVDチャンバの部品の分解斜視図である。
【図1D】 図1Aに示すCVDチャンバの部品の分解斜視図である。
【図1E】 簡略化されたエッチバックチャンバのひとつの実施の形態の断面図である。
【図1F】 システム監視装置と、1台以上のチャンバを含むCVDシステム10との簡略 図である。
【図1G】 特定の実施の形態による、システム制御ソフトウェアであるコンピュータプロ
グラム70の階層制御構造の図解ブロック線図を示す。
【図2A】 本発明による方法の好ましいひとつの実施の形態に従う、TEFSベースのF
SG薄膜形成におけるプロセスステップを示すフローチャートである。
【図2B】 誘電体材料の第1の層が堆積された後に存在する可能性があるボイドの側断面
略図を示す。
【図2C】 化学的エッチバックを受けた後の誘電体材料の第1の層の側断面略図を示す。
【図2D】 化学的および物理的なエッチバックを受けた後の誘電体材料の第1の層の側断
面略図を示す。
【図3A】 本発明による堆積膜の側断面図を示し、ここで誘電体材料の第2の層は化学的
および物理的にエッチングされた誘電体材料の第1の層上に堆積される。
【図3B】 本発明の方法により堆積されたIMD層の間隙充填ケイパビリティを示す顕微
鏡の断面写真である。
【図3C】 本発明の方法によって堆積されたパッシベーション層の間隙充填ケイパビリテ
ィを示す顕微鏡の断面写真である。
【図3D】 本発明の利点によらず堆積されたIMD層の間隙充填ケイパビリティを示す顕
微鏡の断面写真である。
【図3E】 本発明の利点によらず堆積されたパッシベーション層の間隙充填ケイパビリテ
ィを示す顕微鏡の断面写真である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 リュー, チュン, クン アメリカ合衆国, カリフォルニア州, サニーヴェイル, イースト エヴェリン アヴェニュー 730 ♯422 (72)発明者 ノルト, マイケル, ピー. アメリカ合衆国, カリフォルニア州, サン ノゼ, チャリス コート 1242 (72)発明者 シン, コーシャル, ケー. アメリカ合衆国, カリフォルニア州, サニーヴェイル, ダッフォディル コー ト 709 ♯♯ (72)発明者 ラム, アンソニー アメリカ合衆国, カリフォルニア州, サン ノゼ, パーク アヴェニュー 1844 ♯8 (72)発明者 ラナ, ヴィレンドラ, ヴィ. エス. アメリカ合衆国, カリフォルニア州, ロス ガトス, アンドレ コート 101 (72)発明者 コナーズ, アンドリュー アメリカ合衆国, カリフォルニア州, ロス ガトス, サンタ アナ ロード 21580 Fターム(参考) 5F004 AA16 BA04 BB13 BB18 BB26 BD04 BD05 CA01 DA00 DA01 DA22 DA23 DA26 DB00 DB03 EA27 5F033 QQ09 QQ12 QQ14 QQ15 QQ31 QQ98 RR11 SS01 SS04 SS15 TT02 WW00 WW01 WW06

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 基板上へ層を堆積するためのプロセスであって: 前記基板上へ第1誘電体層を堆積するステップ;および、 化学的および物理的な両エッチバックステップによって前記第1誘電体層をエ
    ッチングバックするステップ; を含むプロセス。
  2. 【請求項2】 前記エッチバックステップ後、前記第1誘電体層上へ第2誘
    電体層を堆積するステップを更に含む、 請求項1に記載のプロセス。
  3. 【請求項3】 前記エッチバックステップは、物理的エッチバックステップ
    が続く化学的エッチバックステップを含む、 請求項1に記載のプロセス。
  4. 【請求項4】 前記エッチバックステップは、化学的エッチバックステップ
    が続く物理的エッチバックステップを含む、 請求項1に記載のプロセス。
  5. 【請求項5】 前記エッチバックステップは、アスペクト比が2を超えて5
    μm以下の間隙を充填するこを可能とする、多数回の交互する化学的および物理
    的なエッチバックステップを含む、 請求項1に記載のプロセス。
  6. 【請求項6】 CF4を用いて前記第1誘電体層を化学的にエッチバックす る、 請求項1に記載のプロセス。
  7. 【請求項7】 アルゴンスパッタリングを用いて前記第1誘電体層を物理的
    にエッチバックする、 請求項1に記載のプロセス。
  8. 【請求項8】 前記第1誘電体層がTEFSベースのFSG層を含む、 請求項1に記載のプロセス。
  9. 【請求項9】 前記第2誘電体層がFSG層を含む、 請求項2に記載のプロセス。
  10. 【請求項10】 前記第2誘電体層がUSG層を含む、 請求項2に記載のプロセス。
  11. 【請求項11】 基板上の隣接する金属ライン間の間隙を充填するためのプ
    ロセスであって: 上記間隙を少なくとも部分的に充填するために、ボイドを有する誘電体材料の
    第1層を上記隣接する金属ライン上へ堆積するステップ; 前記ボイドを露出させて、前記ボイドがあったところで鋭く狭い露出部を形成
    するように、前記第1誘電体層を化学的にエッチバックするステップ、 前記露出部を滑らかにかつ広げるために、スパッタリングによって前記第1誘 電体層を物理的にエッチバックするステップ;および、 エッチングされた前記第1層の上へ第2誘電体層を堆積して、上記露出部を実
    質的に充填するステップを含む、 プロセス。
  12. 【請求項12】 前記間隙の幅が0.5μm以下であり、かつ前記金属ライ
    ンのアスペクト比が2以上である、 請求項11に記載のプロセス。
  13. 【請求項13】 請求項11に記載のプロセスによって形成される集積回路
  14. 【請求項14】基板上へ層を堆積するための基板処理システムであって: a)内部で、誘電体層が基板上へ堆積される第1の基板処理チャンバ; b)内部で、前記誘電体層が、化学的および物理的な両エッチバックステップ
    を使用してエッチングされる第2の基板処理チャンバ; c)前記第1と第2の基板処理チャンバに結合されて、堆積とエッチングのガ スを前記第1と第2の基板処理チャンバへ導入するよう構成されるガス分配シス テム;および、 d)前記第1チャンバ、前記第2チャンバ、および前記ガス分配システムに結
    合されたシステムコントローラ;を備え、 前記システムコントローラは、前記システムの動作を指示するためのコンピ
    ュータ読取可能プログラムを格納するメモリを有し; 前記コンピュータ読取可能プログラムが: 前記第1チャンバへプロセスガスを導入して前記基板上へ前記誘電体層を
    堆積するために、前記ガス分配システムを制御するための第1の命令セット; 前記第2チャンバへエッチングガスを導入して前記誘電体層を化学的にエ
    ッチバックするために、前記ガス分配システムを制御するための第2の命令セッ
    ト;および、 前記第2チャンバへスパッタリングガスを導入して記誘電体層を物理的に
    エッチバックするために、前記ガス分配システムを制御するための第3の命令セ
    ット;を含むように成した、 基板処理システム。
  15. 【請求項15】 前記コンピュータ読取可能プログラムが、前記第1チャン
    バへ第2のプロセスガスを導入して前記第1誘電体層上へ第2誘電体層を堆積す
    るために、前記ガス分配システムを制御するための第4のコンピュータ命令セッ
    トを更に含む、 請求項14に記載の基板処理システム。
  16. 【請求項16】 前記第1チャンバと前記第2チャンバが同一チャンバであ
    る、 請求項15に記載の基板処理システム。
  17. 【請求項17】 前記第1と第2のチャンバがそれぞれ、マルチチャンバ処
    理システムの一部を成す異なるチャンバであり、前記基板処理システムが更に前
    記第1と第2のチャンバ間で前記基板を移送するための基板移送システムを備え
    、そして前記コンピュータ読取可能プログラムが更に、誘電体層の堆積後、前記
    第1チャンバから前記第2チャンバへ基板を移送するための命令セットを含む、
    請求項14に記載の基板処理システム。
  18. 【請求項18】 前記第2チャンバへ、約50〜150秒間、約5〜100
    sccmの流量でCF4を導入して前記第1誘電体層を化学的にエッチバックす るために、前記第2の命令セットが前記ガス分配システムを制御する、 請求項17に記載の基板処理システム。
  19. 【請求項19】 前記第2チャンバへ約200〜350秒間、約5〜100
    sccmの流量でアルゴンを導入して前記第1誘電体層を物理的にエッチバック
    するために、前記第3の命令セットが前記ガス分配システムを制御する、 請求項17に記載の基板処理システム。
  20. 【請求項20】 前記第1と第2の誘電体層によって形成された前記膜が、
    0.5μm以下の間隔を有し、かつ2以上のアスペクト比を有する金属ライン間
    の間隙を実質的に充填する、 請求項15に記載の基板処理システム。
JP2000532868A 1998-02-19 1999-02-19 化学的および物理的エッチバックを用いて間隙充填ケイパビリティを改良する方法と装置 Pending JP2002504752A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/025,965 1998-02-19
US09/025,965 US6190233B1 (en) 1997-02-20 1998-02-19 Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
PCT/US1999/003751 WO1999043026A1 (en) 1998-02-19 1999-02-19 Method and apparatus for improving gap-fill capability using chemical and physical etchbacks

Publications (1)

Publication Number Publication Date
JP2002504752A true JP2002504752A (ja) 2002-02-12

Family

ID=21829070

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000532868A Pending JP2002504752A (ja) 1998-02-19 1999-02-19 化学的および物理的エッチバックを用いて間隙充填ケイパビリティを改良する方法と装置

Country Status (3)

Country Link
US (1) US6190233B1 (ja)
JP (1) JP2002504752A (ja)
WO (1) WO1999043026A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011187799A (ja) * 2010-03-10 2011-09-22 Tokyo Electron Ltd 表面平坦化方法

Families Citing this family (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171948B1 (en) * 1999-11-02 2001-01-09 Micron Technology, Inc. Method for filling structural gaps and intergrated circuitry
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
JP4209688B2 (ja) * 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
JP2007051002A (ja) * 2005-08-19 2007-03-01 Kyocera Mita Corp 用紙カセット
US20070138134A1 (en) * 2005-12-19 2007-06-21 Chuan-Han Hsieh Etching apparatus and etching method
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4732658A (en) 1986-12-03 1988-03-22 Honeywell Inc. Planarization of silicon semiconductor devices
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5204288A (en) 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
EP0423907B1 (en) 1988-11-10 1996-04-24 Applied Materials, Inc. Method for planarizing an integrated circuit structure
US5013400A (en) 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5514624A (en) 1990-08-07 1996-05-07 Seiko Epson Corporation Method of manufacturing a microelectronic interlayer dielectric structure
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5270264A (en) 1991-12-20 1993-12-14 Intel Corporation Process for filling submicron spaces with dielectric
KR940008372B1 (ko) 1992-01-16 1994-09-12 삼성전자 주식회사 반도체 기판의 층간 절연막의 평탄화 방법
JP3047343B2 (ja) 1994-07-30 2000-05-29 日本電気株式会社 半導体装置の製造方法
JP2838992B2 (ja) 1995-11-10 1998-12-16 日本電気株式会社 半導体装置の製造方法
US5746884A (en) 1996-08-13 1998-05-05 Advanced Micro Devices, Inc. Fluted via formation for superior metal step coverage
US5721172A (en) 1996-12-02 1998-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned polish stop layer hard masking method for forming planarized aperture fill layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011187799A (ja) * 2010-03-10 2011-09-22 Tokyo Electron Ltd 表面平坦化方法

Also Published As

Publication number Publication date
US6190233B1 (en) 2001-02-20
WO1999043026A1 (en) 1999-08-26

Similar Documents

Publication Publication Date Title
JP2002504752A (ja) 化学的および物理的エッチバックを用いて間隙充填ケイパビリティを改良する方法と装置
US5990000A (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
JP4386573B2 (ja) 炭素を堆積させる方法と装置
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
JP4230561B2 (ja) Teos/オゾン−シリコン酸化物の表面感度の除去のための方法
KR100687367B1 (ko) 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는 방법
KR100550419B1 (ko) 빠른증착속도로할로겐이도핑된실리콘산화물층을증착하는방법및장치
JP5118271B2 (ja) 遠隔プラズマを用いた膜堆積プロセス及び多段階式のチャンバクリーニングプロセス
KR100298486B1 (ko) 낮은유전상수이산화실리콘샌드위치층및형성방법
KR100857649B1 (ko) 화학 기상 증착에 의해 증착된 규소 층의 k값을감소시키는 방법
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6156149A (en) In situ deposition of a dielectric oxide layer and anti-reflective coating
US6523494B1 (en) Apparatus for depositing low dielectric constant oxide film
KR100569807B1 (ko) 기판의 증착막에서 플루오르를 통해 격리성질을 제어하는 반도체장치의 제어 방법 및 시스템
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060118

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A132

Effective date: 20090106

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090721