JP2002502108A - 電磁放射を用いた半導体の表面変更 - Google Patents

電磁放射を用いた半導体の表面変更

Info

Publication number
JP2002502108A
JP2002502108A JP2000524812A JP2000524812A JP2002502108A JP 2002502108 A JP2002502108 A JP 2002502108A JP 2000524812 A JP2000524812 A JP 2000524812A JP 2000524812 A JP2000524812 A JP 2000524812A JP 2002502108 A JP2002502108 A JP 2002502108A
Authority
JP
Japan
Prior art keywords
layer
wafer
chamber
silicate glass
semiconductor wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000524812A
Other languages
English (en)
Other versions
JP2002502108A5 (ja
Inventor
アシュラフ アール クサーン
ササンガン ラマナサン
ギオヴァニ アントニオ フォッギアト
Original Assignee
クエスター テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クエスター テクノロジー インコーポレイテッド filed Critical クエスター テクノロジー インコーポレイテッド
Publication of JP2002502108A publication Critical patent/JP2002502108A/ja
Publication of JP2002502108A5 publication Critical patent/JP2002502108A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 熱酸化物に対する非ドープシリケートガラス誘電体層の付着率は、紫外線(UV)及び/又は真空紫外線(VUV)波長の電磁放射(126)で熱酸化物層を前処理することにより増加される。得られるフィルムの表面の滑らかさも、フィルムをUV及び/又はVUV放射で前処理することにより増加される。更に、非ドープシリケートガラスフィルムのギャップ充填性能も、熱酸化物をUV及び/又はVUV放射で前処理することにより増加される。半導体デバイス(112)をUV及び/又はVUV放射に露出し、そして半導体製造に対して付着率及びフィルム品質を向上させる新規な装置(100)及び方法が提供される。この新規な方法による半導体デバイスも提供される。

Description

【発明の詳細な説明】
【0001】
【技術分野】
本発明は、半導体デバイスの製造装置及び方法に係る。
【0002】
【背景技術】
過去20年間に、集積回路(IC)デバイスの密度は、約18ヶ月ごとに倍増
している。それ故、デバイス密度が増加するにつれて、半導体デバイス特徴部間
のスペースを減少しなければならない。しかしながら、デバイス特徴部間のスペ
ースが減少するにつれて、デバイスを電気的に分離することが益々困難になる。
電気的な分離を与えると共に、デバイスを製造する機械的構造を与えるために、
狭いスペースには二酸化シリコン又は他の適当な絶縁材が充填される。シリコン
基体内でデバイスを分離するために、浅い溝によりスペースが形成される。これ
らの溝には、テトラエチルオーソシリケート(TEOS)及びオゾンの反応から
生成できる二酸化シリコンが充填される。
【0003】 浅い溝の形成は、現在、半導体基体、通常、シリコンウェハに、熱酸化物表面
を形成することにより行なわれ、この熱酸化物表面は、シリコンの表面を高温度
の酸素のような酸化剤に露出して、SiO2の表面を形成することにより作られ る。 同様に、多結晶の相互接続層及び導電性金属の相互接続層は、これら導電層を
互いに絶縁するために二酸化シリコン又は他の適当な誘電体材料が充填されるス
ペースを有している。SiO2のような誘電体材料は、非ドープのシリケートガ ラス(USG)又は非ドープのシリコンガラス(NSG)から形成できる。これ
らの用語は、本明細書では同等とみなす。USGは、化学蒸着(CVD)処理を
使用して、先駆物質であるTEOS及びオゾンを用いて付着される。
【0004】 しかしながら、TEOS/オゾン技術をベースとするUSGの従来の蒸着フィ
ルムには多数の欠点がある。その中で最も重要なものは、フィルムが付着される
基体の条件に対する付着されたフィルムの敏感さである。これは、「表面の敏感
さ」と称される。(各々参考としてここに取り上げるKwok氏等のJ.Electrochem.
Soc.,141(8): 2172-2177(1994); Matsuura氏等のProceedings of the 22d Inter
national Conference on Solid State Devices and Materials, Sendai, pp:239
-242 (1990); Fujino氏等のJ.Electrochem.Soc.138(2): 550-554 (1991);及びFu
jino氏等のJ.Electrochem.Soc.139(6): 1690-1692 (1992)を参照されたい。)表
面の敏感さは、プロセス条件が変化するときの一貫性のない可変の付着率と、形
成されるフィルムの大きな粗面度とによって特徴付けられる。問題とするプロセ
ス条件は、付着温度、付着圧力、反応剤(例えば、TEOS及びオゾン)のモル
比、及びおそらくは、これらのフィルムを付着するのに使用される反応室の設計
に特有の幾つかのハードウェア条件である。
【0005】 オゾン濃度を高めると、付着率に影響し且つ表面の粗面性を高める(これらは
走査型電子顕微鏡を用いた表面特徴の直接的な測定に反映される)と共に、湿式
エッチング率を高めることにもなる(HF水溶液で観察される)。表面の粗面性
を考慮するための1つの考えられる仮説は、覆われる表面に発生する「アイラン
ド成長メカニズム」としても知られている核生成メカニズムである。表面の分子
組成及びその状態に基づき、TEOSオゾンは、粒状の形態で付着を開始する。
フィルムが厚くなるにつれて、この粒状構造を表面の粗面性と考えることができ
る。これは、付着材料の均一で滑らかな層を形成する滑らかな「層状成長メカニ
ズム」と対照的である。
【0006】 表面の粗面性は、TEOSオゾンフィルム、及びこのオゾンフィルムにその後
付着されるフィルムの処理にとって多数の悪影響を招く。導電層を相互接続する
穴(経路)を形成するためにウェハ表面にホトレジストが被覆されるときには、
粗面性の表面におけるホトレジストの表面接着性が低下する。ホトレジストを段
階的光放射に曝すと、粒状表面が多数の反射を引き起こし、ホトレジスト層の寸
法的明確さを悪くする。エッチングの間に、粒状表面は、接着性を低下し、ホト
レジスト層が剥離するおそれを招く。
【0007】 更に、半導体デバイスの特徴部間のギャップが減少するにつれて、これらのギ
ャップを充分に充填することが益々困難になってきている。表面フィルムの厚み
が増加するにつれて、それに対応するフィルムがギャップを完全に充填できず、
「空所」が形成されることになる。これは、ギャップ内の付着材料の表面が敏感
である場合に特に言えることである。低いオゾン濃度で付着されるフィルムは、
デバイス特徴部の従順な被覆を示し、ギャップが充填されるにつれて空所が形成
される。
【0008】 これらの未充填のギャップ即ち「空所」には、有効な誘電体ではなく集積回路
デバイスを劣化させる汚染物が捕獲される。それ故、空所の存在は、デバイスの
信頼性を低下させる。しかしながら、高いオゾン濃度を用いて付着されるTEO
S/オゾンフィルムは、低オゾンフィルムよりも優れたギャップ充填特性を示す
と共に、優れた本来的流れ特性をもつことが知られている(Kwok氏等のJ. Elect
rochem. Soc. 141(8); 2172-2177 (1994))。
【0009】 表面の敏感さは、TEOSオゾン又はTEOS酸素プラズマプロセス(PEC
VD)により付着されたSiO2又は熱酸化物として形成された二酸化シリコン を含む多数の形式の基体に対して観察されている。SiO2は、燐がドープされ たシリケートガラス(PSG)、スピン・オン・ガラス(SOG)、ボロホスホ
ロウス・シリケートガラス(BSG)、又はPSGとBSGの組合せである。更
に、表面の敏感さは、窒化シリコン(Si34)に付着される誘電体層にとって
問題となる。 従って、半導体業界では、表面の敏感さの影響を減少し、そして高質の誘電体
材料で確実にうまくギャップを充填することに関心がもたれている。しかしなが
ら、今日まで、表面の敏感さ及びギャップ充填の悪さの問題は、充分に対処され
ていない。
【0010】 I.表面の敏感さ 半導体表面を処理するために多数の解決策が利用されている。マエダ氏等の米
国特許第5,484,749号には、半導体デバイスを熱及び高周波プラズマに
露出させ、TEOSオゾンフィルムの付着前にSiO2層の表面を処理するプロ セスが開示されている。しかしながら、このプロセスは、コストのかかるプラズ
マ処理装置の追加を必要とする。
【0011】 マエダ氏等の別の解決策である米国特許第5,051,380号は、USGフ
ィルムを不連続に付着するものである。低いオゾン濃度を用いてUSGの第1層
が付着され、次いで、高いオゾン濃度を用いて後続のUSGフィルムが付着され
る。しかしながら、低いオゾン濃度を用いたUSGフィルムは、フィルムの品質
があまり望ましいものではなく、例えば、水分含有量及び吸収性が高く、エッチ
ング率が高く、そして高温度のアニーリング中に収縮し、これは、ストレスや、
空所又は継目の形成を誘起する。(Kwok氏等のJ. Electrochem. Soc. 141(8): 2
172-2177 (1994)を参照。)更に、低オゾンUSGフィルムの表面敏感さを克服 するに必要な厚みは、1000Å程度であり、従って、低質の酸化物でギャップ
が充填されると、高質の高オゾン酸化物でギャップを充填するのを妨げる。ギャ
ップが0.25μmまで減少すると、低オゾンUSGフィルムの第1層の後に、
有効な高オゾンUSG材料の第2層がギャップを充填するのに使用できるのは、
500Åに過ぎない。
【0012】 更に別の解決策は、USGフィルムの表面を変更することである。マエダ氏等
の米国特許第5,387,546号は、付着した半導体フィルムを加熱中に紫外
線に曝すことを教示している。紫外線は、185ナノメータ(nm)及び254
nmの波長をもつ電磁放射並びにそれより長い波長の放射を発生する水銀ランプ
によって形成される。しかしながら、このプロセスは、既に付着されたUSGフ
ィルムの層において行なわれるので、表面の敏感さの問題を取り扱うものではな
い。従って、表面の敏感さを減少する改良された方法が必要とされる。
【0013】 II.ギャップの充填 ギャップの充填を改善するための試みは、窒素ガス(N2)プラズマを使用し て、導電性のライン上に付着された誘電体材料を選択的に処理し、それらの位置
におけるUSGフィルムの付着率を減少することである。Jang氏等の米国特許第
5,536,681号を参照されたい。導電性ライン上の付着率を減少すること
により、ギャップ内に比較的多くの誘電体材料を付着し、空所の形成を減少する
ことができる。しかしながら、このプロセスは、少なくとも3つの追加ステップ
及び追加装置を必要とし、経費がかかる上に、付加的な処理時間を必要とし、従
って、効率が悪い。
【0014】 Chen氏の米国特許第5,489,553号は、熱酸化物層をHFに曝すもので
ある。HFは、酸性が強く、表面の全二酸化シリコンを除去し、裸のシリコンを
その後のTEOSオゾン二酸化シリコンフィルム付着に曝す。表面にSiOFモ
イエティ(moiety)が形成されることにより、酸化物の改善された従順な付着を生
じるが、フィルムにフッ素原子が入り込むことによってフッ化物イオンが解放さ
れ、フッ素イオンが水と結合してHFを生成するために、信頼性の問題を招く。
更に、フッ素原子の存在は、それ自体、表面を敏感にさせる。(参考としてここ
に取り上げるKwok氏等のJ. Electrochem. Soc. 141: 2172を参照。) それ故、誘電体フィルムに有害な種を導入することなく実施できる改良された
ギャップ充填プロセスが必要とされる。
【0015】
【発明の開示】
以上のことから、本発明の1つの目的は、例えば誘電体フィルムのような種々
のフィルムで覆われる半導体ウェハ表面の敏感さを減少する方法を提供すること
である。 別の目的は、誘電体フィルムの付着率及び導電性に悪影響を与えることなく表
面の敏感さを減少することである。 更に別の目的は、表面の敏感さを排除又は減少する前処理を実行するための装
置を提供することである。 更に別の目的は、ギャップ充填を改善すると共に、浅い溝分離に対して適当な
絶縁を与える方法を提供することである。
【0016】 更に別の目的は、表面の敏感さを減少するために基体を前処理した後に付着さ
れた誘電体層を含む半導体チップを提供することである。 更に別の目的は、空所をほとんど生じないように狭いギャップの充填を改善す
るための方法を提供することである。 本発明は、フィルム表面の敏感さを減少するように半導体表面を前処理する装
置及び方法を含む。 それ故、本発明の1つの特徴は、熱酸化物基体を真空紫外線及び紫外線波長の
電磁放射に露出して、その後に付着されるフィルム、例えば、誘電体フィルムの
表面の敏感さを減少する方法を開発することである。
【0017】 本発明の別の特徴は、表面の敏感さを減少する一方、例えば、誘電体フィルム
のような薄いフィルムの所望の付着率を維持する方法を開発することである。 本発明の更に別の特徴は、表面の敏感さを減少する条件のもとで真空紫外光線
に半導体ウェハを露出するための装置を開発することである。 本発明の更に別の特徴は、半導体デバイスのギャップを前処理して、誘電体材
料でのギャップ充填を改善できるようにし、ギャップを完全に充填する装置を開
発することである。 本発明のこれら及び他の特徴並びに効果は、添付図面を参照した以下の詳細な
説明及び請求の範囲から明らかとなろう。
【0018】
【発明を実施するための最良の形態】
以下、添付図面を参照して本発明を詳細に説明する。 I.基体表面の敏感さを最小にする方法 本発明は、半導体層好ましくは誘電体層を付着する前に、好ましくは真空紫外
線及び紫外線波長の電磁放射で半導体基体を前処理する方法及び装置に係る。こ
の前処理は、付着プロセスの表面敏感さを実質的に減少し、従って、熱酸化物表
面は、誘電体材料の層を高い率で付着することができ、しかも、表面の滑らかさ
は、裸のシリコンに付着された誘電体層の表面の滑らかさにほぼ等しいものとな
る。更に、USGフィルムの品質は高く、良好な誘電体特性を与えると共に、有
効な電気的絶縁を与えることができ且つ0.25μm範囲のギャップを充填する
ことができる。
【0019】 本発明は、表面の敏感さが基体表面の性質により決定されるという認識に基づ
く。表面の性質は、少なくとも一モイエティが、原子及び分子種と、それらが基
体表面上で互いにいかに結合されるかによって決定される。基体材料、その作成
方法、及び誘電体付着の前にそれが露出される周囲条件は、表面の性質、及びそ
の後に付着されるフィルムの品質に影響する要素である。 表面の敏感さを考慮する理論は多数存在する。1つの理論は、表面の敏感さが
基体の濡れ特性に関係しているというものである。純粋なシリコン基体は、疎水
性であり(即ち水を反発し)、一方、熱酸化物は、シリコン表面を高温度で酸素
に曝すことにより、親水性である(即ち表面が水で濡れる)。それ故、この理論
は、表面の敏感さが基体の親水性に関係していることを示す。
【0020】 第2の理論は、基体表面と付着された種との間の静電気相互作用に関連するも
のである。(参考としてここに取り上げるKwok氏等のJ. Electrochem. Soc. 141
(8):2172-2177 (1994)参照。)シリコンウェハ上においても、高いオゾン濃度で
付着されたUSGは、水素ではなくフッ素原子が存在する場合に表面の敏感さを
示すことが認められる。TEOS及びオゾンの付着は、気相において電気的に負
であると仮定される中間種の形成を介して行なわれる。フッ素は、著しく電気的
に負であるから、この気相の中間種は、表面上のフッ素原子により反発される。
その結果、付着率が低くなり且つ付着が非均一になる。この理論によれば、フッ
素原子とは異なり、水素原子は、著しく電気的に負ではなく、従って、フッ素の
ない基体での中間種の付着は、より迅速で且つより均一である。
【0021】 第3の理論は、望ましくない形式のシリコン結合が表面に存在することに関連
している。例えば、熱酸化物の表面は、Si−O−Si、Si−H及びSi−O
H結合を炭化水素又は他の有機汚染物と共に表面上に有すると予想される。この
理論によれば、これらの形式の結合の存在は、得られるフィルムの均質性を低下
させ、これにより、粗面をもつようにさせる。この理論によれば、これらの結合
は、表面の汚染物がSiO2フィルムのシリコン又は酸素原子に結合するときに 形成される。
【0022】 第4の理論は、基体表面上の有機汚染物の存在に関連している。有機汚染物の
典型的な発生源は、クリーンルームの空気と、ホトリソグラフィープロセスの残
留ホトレジストである。見つかった有機モイエティは、炭化シリコン、アミド、
シリコーン、有機燐化合物、C6−C28脂肪族又は芳香族炭化水素、フタレート 、アルコール(例えば、イソプロピルアルコール)、N−メチルピロリドン、ク
レゾール及びアミンを含む。参考としてここに取り上げるCamenzind氏等の「Bal
azs News, Number 20: 1-3 (1997年10月)」;及びCamenzind氏等の「MICR
O pp:71-76 (1995年10月)」を参照されたい。
【0023】 これらの理論は、単に説明の目的でここに示すものに過ぎず、本発明は、その
動作についてこれらの理論に何ら依存するものではない。更に、表面に敏感さに
ついては、他の理論も考慮できる。表面の敏感さについて役割を演じる分子的ソ
ース又はメカニズムに関わらず、本発明は、好ましくは紫外線及び真空紫外線範
囲の電磁放射を使用して、層好ましくは誘電体層を付着する前に表面を準備処理
する。
【0024】 ある理論によれば、表面を変更するには、不所望な結合を破壊し及び/又は所
望の表面結合又は表面サイトを形成することを必要とする。これらの結合は、と
りわけ、Si−OH、Si−C、Si−Nを含む。幾つかの関連結合の結合エネ
ルギーがテーブル1に示されている。これらのエネルギーは、可視光線、紫外線
(UV)及び真空紫外線(VUV)範囲であり、それ故、これら波長の電磁放射
は、これら結合と相互作用する。それ故、熱酸化物の表面をUV又はVUV放射
に露出することによりこの表面のボンディング状態を変更することができる。 テーブル1 選択された結合に対する結合エネルギー 結合 エネルギー(eV) 波長(nm) H−H 4.25 274 C−C 3.60 344 Si−Si 1.83 678 N−N 1.67 745 O−O 1.44 861 C−H 4.28 289 Si−H 3.05 406 N−H 4.05 306 O−H 4.80 259 C−Si 3.01 413 C−N 3.02 410 C−O 3.64 340 Si−O 3.82 324 C=C 6.34 195 C≡C 9.22 134 データは、参考としてここに取り上げるL. Pauling著の「The nature of the
Chemical Bond and the Structure of Molecules and Crystals: An Introducti
on to Modern Structual Chemistry」、第3版、Counell University Press, It
haca, New York, 1960;及びAtkins著の「Physical Chemistry」、第3版、Oxfo
rd University Press (1988)による。
【0025】 テーブル1から明らかなように、ある結合は電磁放射を吸収し、従って、破壊
することができる。これらの不所望な結合が解離された状態では、汚染モイエテ
ィを表面から除去することができる。電磁放射は、結合を破壊するに充分なエネ
ルギーを有し、従って、汚染モイエティを表面から除去することができる。
【0026】 II.電磁放射放出装置の形式 水銀蒸気ランプ、水素ランプ、レーザ、及びエクシマー放射装置を含む誘電体
バリア放電ランプは、本発明のUV及びVUV放射を得るのに有用な幾つかの異
なる装置である。誘電体バリア放電技術の最近の進歩に伴い、現在、広い表面積
にわたって適度な高輝度の単色放射を発生するUV及びVUVランプを形成する
ことができる。それ故、これら形式のランプは、本発明を実施するのに適してい
る。しかしながら、UV及びVUV放射のいかなるソースを使用することもでき
るので、本発明の特徴は、特定の放射ソースに限定されるものではない。
【0027】 エクシマー装置は、放出モイエティとして使用することを特徴とするもので、
その原子は、通常の温度及び他の条件のもとでは、それらの間に化学的な結合を
形成しない。例えば、貴ガスは、通常、原子間結合を形成しない。しかしながら
、高エネルギー条件のもとでは、貴ガスは、二量体を形成し得る。これらの二量
体が破壊すると、結合のエネルギーが高エネルギーの電磁放射として放出される
。どんなエクシマーガスであるかに基づき、放出される放射線の波長は、紫外線
又は真空紫外線レンジとなる。
【0028】 テーブル1に示す結合は、UV及びVUVレンジのエネルギーに対応する。多
数の形式のデバイスが、必要な波長レンジの電磁放射を発生することができる。
VUV又はUV放射は、公知の方法に基づき種々のガス又はガス混合物を用いた
誘電体バリア又は無音放電装置によって発生することができる。VUV及びUV
放射は、貴ガス及び他の原子種から発生することができる(テーブル2参照)。 テーブル2 選択された電磁放射放出装置の放出波長 放出モイエティ 波長(ナノメータ) He2 60−100 Ne2 80−90 Ar2 107−165 Kr2 140−160 Xe2 160−190 N2 337−415 KrF 240−255 Hg/Ar 235 重水素 150−250 XeF 340−360、400−550 XeCl 300−320 XeI 240−260 ArF 180−200 ArCl 165−190 ArCl/KrCl 165−190、200−240 KrCl 200−240 Hg 185、254、320−370、390−420 Se 196、204、206 データは、参考としてここに取り上げるEliasson氏等の米国特許第4,983
,881号、及びKogelschatz氏の米国特許第5,432,398号による。
【0029】 これらの波長レンジは、基体表面の不所望な結合のエネルギーと同等の波長を
包含する。上記の波長は、最大パワーを示すものであるが、上記放出モイエティ
の各々によりある帯域巾の波長が発生される。この帯域巾は、約1nmから約1
7nmまで変化する。(参考としてここに取り上げるNewman氏等のAust. J. Phy
s. 48: 543-556 (1995)を参照。)従って、これら放出装置は、半導体基体の表 面を電磁放射のスペクトルに露出して、解離できる化学的結合の数及び形式を増
加するのに使用できる。
【0030】 A.誘電体バリア又は無音放電ランプ 無音放電装置としても知られている誘電体バリア放電装置は、2つの導電性プ
レートより成り、その各々は、誘電体層で覆われ、放出ガスを含むギャップによ
って互いに分離されている。このような放出ガスの例がテーブル2に示されてい
る。誘電体バリアは、ギャップを通る導通を減少し、それ故、プレート間に電流
を発生するには、高い電圧が必要となる。電圧が誘電体材料の抵抗を克服するに
充分であるときには、ガスに流れる電流が、誘電体層をもたない状態より実質的
に高くなり、ひいては、より多くの電力がガスに供給される。絶縁破壊が生じる
と、ギャップにわたって小さなマイクロプラズマが形成される。電流は、ガスを
励起し、それにより放出される光子は、ガス中にどんな原子種が存在するかに基
づいて所望のVUV及びUVレンジとなる。エクシマープロセスでは、マイクロ
プラズマがガスにエネルギーを供給し、二量体の形成を誘起する。これら二量体
が解離すると、エクシマー放射が放出される。露出チャンバ内に放出されると、
これらの光子は、ウェハの表面に向けられ、表面の分子と相互作用して、その後
に付着されるUSGフィルムに対する表面の敏感さを増大させる。
【0031】 有用なランプのサブセットは、誘電体バリアエクシマーランプを含む。エクシ
マーランプは、公知であり、Kogelschatz氏の米国特許第5,432,398号 、Kogelschatz氏の米国特許第5,386,170号、Eliasson氏等の米国特許 第4,837,484号、Eliasson氏等の米国特許第4,945,290号、El
iasson氏等の米国特許第4,983,881号、Gellert氏等の米国特許第5、 006、758号、Kogelschatz氏の米国特許第5,198,717号、Kogelsc
hatz氏の米国特許第5,214,344号、及びKogelschatz氏の米国特許第5 ,386,170号に開示された装置により例示される。これら参照文献の各々
は、参考としてここに取り上げる。
【0032】 本発明の方法を実施するのに、いかなる適当なランプも有用である。これらの
装置は、中心電極が水晶誘電体で包囲されたものを含む。水晶誘電体を取り巻く
ものは、Xe又はテーブル2に示したもののような放出ガスを含むチャンバであ
る。放出ガスを含むチャンバの周囲には、発生されるUV又はVUV波長を透過
する別の誘電体シールドが、ガスを管内に包囲している。適当な材料は、LiF
、MgF2又はCaF2であるが、これに限定されない。メッシュワイヤが一般的
である外側電極が、外側の誘電体層を包囲している。
【0033】 放出ガスを励起すると、電磁放射が発生され、露出チャンバ内の管から出る。
チャンバ内の空気は光子を吸収し、それら光子が基体表面に到達するのを妨げる
ので、露出チャンバが排気されるか、又は放出装置により発生された放射波長を
吸収しないガスに空気が置き換えられる。キセノンエクシマー装置の場合には、
適当なガスは、窒素、アルゴン、ヘリウム、キセノン、又はアンモニアを含む。
他の放出装置の場合には、光子を基体表面へ通過できるように、非吸収がスが適
宜選択される。
【0034】 図1は、熱酸化物基体を前処理するための本発明の装置100を示す。露出チ
ャンバ104は、加熱装置(図示せず)によって加熱できるチャック108を収
容する。チャック108は、ウェハを放射線に最適に露出するように3次元のい
ずれにも移動できる。表面前処理のばらつきによりその後に付着されるUSGフ
ィルムの厚みにばらつきが生じても、放射線が表面に均一に分布されることが重
要である。半導体ウェハ112は、チャックの上部に保持される。ランプ116
は、ウェハを放射線に均一に露出させるに適した任意の形態でチャンバ104内
に配置される。この図では、ランプ116は、チャンバの上部に示されている。
適当なランプは、参考としてここに取り上げる米国特許第5,432,398号
、第5,214,344号、及び第5,198,717号に開示されたものを含
む(これに限定されないが)公知のいずれかの放出ランプである。
【0035】 電力及び露出時間は、USGフィルム付着における表面の敏感さを最小にする
ように選択される。エクシマーランプの電力出力は、約0.005W/cm2な いし約100W/cm2の範囲である。好ましくは、電力出力は、約0.1W/ cm2ないし約5W/cm2の範囲であり、そして更に好ましくは、約1W/cm 2 である。露出時間は、ジェネレータの電力出力に対して逆に変化する。しかし ながら、この露出基準は、表面の既存の状態に基づいて変更し得る。きれいな表
面の場合には、低い電力及び/又は短い露出時間が必要となる。
【0036】 図示されたランプは、2つ以上の放出装置120を備え、これらは、ウェハの
表面上に放射露出を与えるように配置される。反射素子124は、放出された放
射線126をチャンバ104へと下方に反射し、放射線に対するウェハの露出量
を増加させる。入口ポート128は、露出チャンバ104へガス132を導入で
きるようにする。ガス132は、ランプ120により発生されたエクシマー放射
の波長を吸収しない適当なガス又は混合ガスである。これらのガスは、チャンバ
104から排気ポート136を経て引き出される。或いは、チャンバ104は、
空気を除去して放射線126の吸収を減少するように実質的に排気される。
【0037】 本発明に適したランプは多数ある。テーブル3は、ランプの幾つかの特性を示
す。 テーブル3 UV及びVUVランプの特性 形式 電力範囲 電力密度 λにおける λ λにおける λ (ワット) (W/cm2) 最大放射電力 (nm) 最大放射 (nm) (W) 束電力 低P Hg 6-150 0.2-0.3 6-45 253.7 200-550 253.7 低P Hg 1500- 10-15 90-500 253.7 2000-6000 253.7形式ABB 2000 中P Hg 75-60000 40-300 1.5-3500 254 700-5000 254 溶融 6000 240 270 201-250, 28,000 201-250 型H 500 251-300, 50,000 251-300 335 300, 35,000 301-350 301-250 XeCl 10,000 50 330 308 11,700 308 KrCl 10,000 50 330 222 11,700 222 Xe 10,000 50 240 172 8,500 172 データは、参考としてここに取り上げるBraun氏等の「Photochemical Technolog
y」、John Wiley & Sons, New York (1991);Oldring氏等の「(eds.) Chemistry
& Technology of UV & EB Formulation for Coatings」、Inks, & Paints, Vol
. 1, STA Technology (1991);及びHeraeus Noblelight氏の「Product Catalogu
e」、Heraeus Noblelight, Hanau, Germanyによる。
【0038】 B.オープンエミッタプロセス ウェハをVUV又はUV放射に露出する本発明の別の装置は、放出ガスを直接
チャンバに導入し、そして水晶管のような付加的な収容容器でソースを包囲する
必要なくチャンバ内に電磁放射を発生するものである。この形式のプロセスは、
ここでは、「オープンエミッタ」プロセスと称する。これは、放出ガスが個別の
容器内に包囲されず、処理されるべきウェハがチャンバ内で放出ガスに直接露出
されるという点で、上記の標準的な誘電体放電装置とは異なる。放出ガスは、チ
ャンバに流れ込み、そして電極アレーに通される。電極は、その各側の隣接電極
に対して交互の極性を有する。電極に電荷が通されて、電極間に電界が設定され
る。電界はガスを励起し、他の種の中でも、結合エネルギーの高い二量体を形成
する。励起されたガス分子は、表面の上にある間に光子を放出するか、又はデバ
イスの特徴部内のガスへ侵入して、そこで、光子を放出する。このように、ある
UV又はVUV放射がガスの内部に到達して、基体に対して所望のコンディショ
ニング作用を及ぼすよう確保することができる。又、この実施形態は、従来のエ
クシマーランプにおける水晶又は他の窓を便利に通過できる短い波長を発生する
放出ガスを使用することもできる。このようなガスは、He、Ne及びArを含
む。更に、他の形式の放射、例えば、X線及び電子放射も有用である。
【0039】 図2は、半導体デバイスを電磁放射で処理する装置200を示す。チャンバ2
04は、チャック208を収容し、このチャックは加熱できると共に3次元的に
移動することができる。半導体ウェハ212は、オープンエミッタ装置216の
下に配置されたチャック208に保持される。チャンバ204の片側に沿って電
極220が配列される。放出ガス224は、ポート228を経て供給され、そし
て電極220を経て流れる。電極220の交流が放出ガス224を励起し、励起
されたガスはチャンバ204に入る。ウェハ214は光子232に曝され、前処
理が行なわれる。任意であるが、他のガスを導入するためのバイアス流が入口ポ
ート236を経て送られてもよい。これら他のガスは、第1の放出ガスとは異な
る波長の放射を発生できる放出体として使用することができる。或いは又、これ
らのガスは、チャンバ204内にある間にウェハのその後のコンディショニング
に使用されてもよい。チャンバ204は、排気ポート240を経てポンプ(図示
せず)により排気される。
【0040】 電力及び露出時間は、USGフィルム付着の表面の敏感さを最小にするように
選択される。放出ランプの電力出力は、約0.005W/cm2ないし約100 W/cm2の範囲である。好ましくは、電力出力は、約0.1W/cm2ないし約
5W/cm2の範囲であり、更に好ましくは、約1W/cm2である。露出時間は
、発生器の電力出力と逆に変更することができる。しかしながら、これらの露出
基準は、表面の既存の状態に基づいて変更することができる。きれいな表面の場
合には、より少ない電力及び/又はより短い露出時間でよい。
【0041】 図3は、前処理及び誘電体フィルムの付着に使用される本発明のツール300
を示す。処理されるべきウェハは、装填ステーション304に装填される。ロボ
ットアーム308がウェハを冷却ステーション312へ転送する。前処理ステー
ション316は、電磁放射放出装置を含む。この前処理ステーション316は、
図1又は2に示したスタンドアローンシステムと同様でよい。前処理の後に、ロ
ボットアーム308は、多数の付着ステーションを含むクラスターツール316
へウェハを転送する。
【0042】 II.電磁放射によるウェハの前処理 上述したように、熱酸化物基体を電磁放射に露出すると、表面の敏感さが減少
される。このような露出を行うために、ウェハは、VUV及び/又はUV光源を
含む装置に導入されるのが好ましい。少なくとも1つの理論によれば、この放射
は、二酸化シリコン基体の表面の分子結合を励起し、不所望な結合が破壊され、
汚染モイエティを表面から取り除くことができる。
【0043】 典型的なプロセスは、3つの段階を含む。第1のコンディショニング段階は、
ウェハを種々の温度及びガス環境に露出することである。この初期のコンディシ
ョニング段階の時間は、約0ないし約10分の範囲であり、好ましくは、約0秒
ないし約30秒であり、そして更に好ましくは、約10秒である。温度は、約2
5°Cないし約700°Cであり、好ましくは、約100°Cないし約300°
Cであり、そして更に好ましくは、約200°Cである。チャンバの圧力は、ク
ローズド及びオープンエミッタシステムの両方について約10-5Torrないし
約1500Torrであり、好ましくは、約1Torrないし約100Torr
であり、そして更に好ましくは、クローズドエミッタシステムの場合に約10T
orrである。オープンエミッタシステムの場合の好ましい圧力は、約300T
orrないし約900Torrであり、そして更に好ましくは、約760Tor
rである。ガスは反応チャンバに注入されるが、注入前に、加熱、冷却及び/又
は混合されてもよく、そして気化された化学物質を含んでもよい。
【0044】 使用可能なガスは、不活性ガス及び反応ガスを含む。不活性ガスは、例えば、
He、Ar、Ne、Kr及びXeを含む。反応ガスは、例えば、NH3、クロロ フルオロカーボン、Cl2及びHCl、F2及びその派生物、例えば、SF6を含 む。更に、Br2、O2、及びその派生物、例えば、オゾン(O3)、H2、そして
ClやFやBrやOやSに溶解し得るガスも有用である。注入することのできる
化学物質は、例えば、HF、HCl、H2SO4、ClSO3、又はH22を含む 。これらの物質は、単独で注入されてもよいし、或いは窒素や水素や酸素のよう
な担体及び上記不活性ガスのいずれかと混合されてもよい。
【0045】 不活性ガスの目的は、エクシマー放射を発生することである。反応ガス、及び
非反応ガスの派生物で、電磁放射に曝されたときに解離して反応種を形成するよ
うな派生物は、ウェハの表面と反応して、表面敏感さの低い表面を形成する。化
学物質の場合には、それらの蒸気が反応領域に注入されて、電磁放射のもとで解
離し、反応性モイエティを生成する。
【0046】 第2の段階即ち処理周期は、好ましくはVUV又はUV光線の形態の電磁エネ
ルギーに露出することを含む。この露出周期は、約10秒ないし約30分の範囲
であり、好ましくは、約20秒ないし約50秒の範囲であり、そして更に好まし
くは、約30秒である。この段階は、温度、圧力及び周囲ガスについて同じ又は
異なる条件で何回も繰り返される。使用する放射線は、通常、約100ナノメー
タ(nm)ないし約450nmの範囲であり、好ましくは、約123nmないし
約225nmであり、そして更に好ましくは、約147nmないし約172nm
である。又、より短い波長の放射線を使用することもでき、これは、波長が10
0nm以下の放射線を発生するX線及び電子ソースを含む。
【0047】 電子デバイスに過剰なダメージを与えることのない適度な電力密度を使用する
ことができる。最も有用な電力密度は、約0.005W/cm2ないし約100 W/cm2の範囲であり、好ましくは、約0.1W/cm2ないし約5W/cm2 であり、そして更に好ましくは、約1W/cm2である。 後コンディショニング段階は、約2週間までの長期間にわたって所望の表面状
態を維持するのに使用できる。後コンディショニングプロセスは、温度、チャン
バ圧力及びガス環境の種々の組合せを用いて実行することができる。上記ガス又
は混合ガスのいずれを使用することもできるが、酸素、窒素及び/又はアンモニ
アを含むのが好ましい。ガス環境は、イオン種をウェハの表面に付着させ、処理
中に除去される汚染物の吸収に対してそれを中性化させることができる。例えば
、TEOSオゾン付着に対して400°Cに加熱されたときに表面から解放され
るアンモニアは、表面敏感さの低いその下の表面を露呈させる。
【0048】 III.誘電体材料の付着 USGフィルムの付着は、公知の標準的な方法によって遂行される。付着方法
は、一般に、化学蒸着(CVD)及び搬送重合(TP)を使用する。通常、TE
OSオゾンフィルムを付着する前に、熱酸化物層の部分上に窒化シリコン(Si 34)のキャップ層が任意に付着される。これらのプロセスを用いて、TEOS
及びオゾンのような先駆物質が互いに反応して、反応性中間分子を形成し、これ
ら分子が重合してUSGフィルムを形成することができる。TEOSの流量は約
0.05gm/分ないし約1.5gm/分の範囲であり、そして好ましくは、約
0.15ないし約0.4gm/分である。オゾン濃度は、0体積%以上から約1
8体積%の範囲であり、そして好ましくは、約5体積%から約12体積%の範囲
である。付着温度は、約100°Cないし約600°Cの範囲であり、そして好
ましくは、約400°Cである。これらの条件のもとでは、前処理された熱酸化
物におけるUSGの付着率が、裸のシリコンにおけるUSGの付着率にほぼ等し
い。従って、層の付着に使用できるオゾンの濃度は、USGフィルムの付着率に
妥協することなく6体積%以上に増加することができる。
【0049】 本発明の物理的−化学的プロセスは、フィルムの製造に所望の作用を与える。
先ず、熱酸化物表面から汚染物が除去されるので、USGフィルムの付着率は、
未処理の熱酸化物のみにおける付着に比して増加される。未処理の熱酸化物にお
ける付着率は、裸のシリコンの場合より著しく低い。標準的なTEOS及びオゾ
ン濃度を使用すると、1分当たり500Å程度の率は、稀ではない。放射線前処
理は、熱酸化物基体におけるTEOS付着率を、裸のシリコンの場合に匹敵する
率まで上昇させる。放射線前処理の後に、TEOS付着率は、1分当たり約20
00Å以上になることがしばしばであり、1分当たり5000Åに達することも
ある。
【0050】 付着の後に、フィルムは、窒素雰囲気中で数分間、好ましくは約30分間、約
900°Cまで加熱することによりアニールすることができる。このアニーリン
グ段階は、USG層の密度を高め、フィルムの水分含有量を減少して、より望ま
しい誘電体及び機械的特性をフィルムに与えることが知られている。 更に、表面前処理は、USGの非常に均一な分布を与える。付着されたUSG
フィルムの最終表面の粗面度は、平面からのずれの実効値が約10Å以下であり
、そして好ましくは、約2Åないし8Åである。
【0051】 更に、オゾンフィルムは、オゾン濃度が約0.5体積%ないし約18体積%の
範囲であり、そして好ましくは、約5体積%ないし約12体積%の範囲であるよ
うに付着することができる。これらのオゾン濃度範囲のもとでUSGを付着する
と、更に望ましい表面品質のフィルムが形成される。更に、付着率の増加は、ギ
ャップ充填の効率を高め、ひいては、高いデバイス密度及び良好な電気絶縁性を
もつ半導体デバイスを製造することが可能となる。
【0052】 ギャップ充填が改善される1つの考えられる理由は、前処理を行わないと、ギ
ャップ側壁におけるUSGの非均一の分布のために、ギャップへの反応性中間物
の貫通に対してバリアが形成され、ギャップ内のその後の付着を減少するからで
ある。ギャップ側壁面にUSGがより均一に付着することにより、バリアが形成
される機会がほとんどなくなり、それ故、ギャップへの中間物の拡散をほとんど
妨げなくなる。中間物がギャップへ流れ続けるにつれて、最終的なTEOSオゾ
ンフィルムの付着は、ギャップが完全に充填されるまで続けられる。
【0053】 ギャップを充填する公知の方法は、ギャップ内に流れるようにフィルムを付着
することに集約される。これらの方法では、約500°Cの高い温度において高
オゾンUSGの従順なフィルムが形成されることが分かった。しかし、従順な層
がギャップを充填するときに、ギャップの中央領域は、「弱い継目」の形成場所
となり、即ち従順なフィルムの2つの側縁が収斂する質の悪いフィルム領域とな
る。この形式の空所は、デバイスの性能を低下させ、汚染物を捕獲して、デバイ
スの信頼性を低下させる。更に、高温度の付着は、粒子の付着を生じさせ、化学
物質の消費を高める。
【0054】 熱酸化物の表面を電磁放射で前処理すると、低い温度において流れるようなフ
ィルムを付着することができ、従順なフィルムの場合よりも実際に良好にギャッ
プを充填することが予期せずに分かった。高オゾンUSGフィルムの所望のギャ
ップ充填特性は、約400°Cの温度において本発明のUV/VUV前処理プロ
セスを使用して得ることができる。公知技術に勝る本発明の効果は、流れのよう
な付着に伴い、ギャップの中央に弱い継目が形成されず、それ故、デバイスの性
能が低下せず、デバイスの信頼性が向上することである。更に、400°Cで付
着することにより、粒子の付着は、化学物質の消費と同様に、最小となる。 これは、本発明の改良されたギャップ充填を考慮する1つの考えられる理論に
過ぎず、この理論又は他の特定の動作理論に依存するものではない。他の理論は
観察を考慮するもので、その全てが本発明の部分であると考えられる。
【0055】 以下の例は、半導体基体を電磁放射で前処理することが、その後に付着される
TEOSオゾンフィルムの付着率、表面の粗面度及びギャップ充填特性に及ぼす
作用を実証するものである。 例1 USGフィルムの付着率に対するVUV前処理の作用 USGフィルムの付着率に対するVUV前処理の作用を判断するために、シリ
コン及び熱酸化物ウェハについて実験を行った。制御用ウェハは、裸のシリコン
にUSGフィルムを付着したものであった。他のウェハは、未処理の熱酸化物で
あり、そして更に別のウェハは、図1に示すようにXeエクシマーランプを用い
て172nmのVUV放射に露出したものであった。電力密度は15W/cm2 であり、温度は室温であり、ウェハからランプまでの距離は4cmであり、露出
時間は1分又は5分のいずれかであり、そしてチャンバの圧力は0.2ミリバー
ルであった。
【0056】 露出の後に、熱化学蒸着(CVD)プロセスを用いてUSGのフィルムが付着
された。前処理を行わない場合には、付着率及びフィルム特性は、付着プロセス
におけるオゾンの濃度に依存するものであった。非常に低いオゾン濃度(約0.
1%以下)では、USGフィルムの付着率が非常に低い。約0.25%以上のオ
ゾン濃度では、付着率が著しく増加する。約0.5%のオゾン濃度より上では、
付着率が更に増加するが、非常にゆっくりである。最大付着率は、約1ないし2
%オゾンにおいて生じ、そしてオゾン濃度をそれ以上増加すると、実際に、付着
率は低下する。更に、より高いオゾン濃度では、未処理の熱酸化物における付着
率は、裸のシリコンにおける付着率より次第に低くなった。
【0057】 しかしながら、VUV放射で前処理すると、熱酸化物基体におけるUSGフィ
ルムの付着率が増加した。テーブル4は、裸のシリコン基体、或いはVUV前処
理を行った又は行なわない熱酸化物基体に対するTEOSオゾンフィルムの付着
率を比較した調査結果を示す。TEOS流量は0.4gm/分であり、オゾン濃
度は120gm/cm3であり、付着温度は400°Cであり、そして付着時間 は5分であった。 テーブル4 熱酸化物上のSiO2付着に対するVUV放射の作用 基体 処理 成長率(Å/ 分) SiO2/Si上での成長率(%) Si なし 946 100 熱酸化物 なし 786 83 熱酸化物 172nm,1分 913 97 熱酸化物 172nm,1分 935 99
【0058】 テーブル4は、熱酸化物がTEOSオゾンフィルムの付着率を裸のシリコンに
比して17%減少することを示している。しかしながら、1分ほどの短い時間の
VUV前処理が、熱酸化物におけるTEOSオゾンフィルムの成長率を未処理の
熱酸化物基体に比して実質的に増加する。1分間の前処理の後に、付着率は、シ
リコンに対して観察された付着率の97%であった。更なる前処理は、付着率を
更に増加し、5分の処理は、熱酸化物における成長率をシリコンに比して99%
にした。従って、VUV前処理は、熱酸化物基体におけるTEOSオゾンフィル
ムの付着率を実質的に増加すると結論される。
【0059】 例2 USGフィルムの滑らかさに対するVUV前処理の作用 TEOSオゾンフィルムの滑らかさに対する基体の前処理の作用を判断するた
めに、裸のシリコン基体、或いはVUV放射で前処理した及び前処理しない熱酸
化物基体において実験を行った。図4a−dは、付着後のTEOSオゾンフィル
ムの表面の滑らかさに対するVUV処理の作用を示す。 露出は、図1に示すようにXeエクシマーランプを用いて行った。電力密度は
15W/cm2であり、温度は室温であり、ウェハからランプまでの距離は4c mであり、露出時間は1分又は5分のいずれかであり、そしてチャンバの圧力は
0.2ミリバールであった。
【0060】 図4aは、例1と同様に付着されたTEOSオゾンフィルムの走査電子顕微鏡
写真である。TEOSの流量は0.4gm/分であり、オゾン濃度は120gm
/m3であり、付着温度は400°Cであり、付着時間は5分であった。表面は 滑らかに見え、表面の粗面度は最小であった。これに対し、基体が熱酸化物であ
ること以外は、同じ条件のもとで付着されたTEOSオゾンフィルム(図4b)
は、粒状の見掛けを示し、裸のシリコン基体に対して観察された表面(図4a)
よりも粗面度が著しく大きいものであった。
【0061】 同じ熱酸化物基体を真空中においてVUVで1分間前処理すると(図4c)、
TEOSオゾンフィルム表面の粗面度は、未処理の熱酸化物に付着されたTEO
Sオゾンフィルムに比して実質的に減少された。この滑らかな表面は、段階的光
線放射の反射を減少し、従って、ホトレジスト層の寸法的鮮明さをより高くする
という効果を与える。更に、エッチング中に、表面の斑点減少により、層剥離の
おそれと共に付着を減少させる。
【0062】 更に、VUV処理時間を延長すると(図4d)、TEOSオゾンフィルムの粗
面度は更に減少する。実際に、5分間処理した基体の表面は、裸のシリコンに付
着されたTEOSオゾンの表面(図4a)とほぼ同じに見える。 それ故、VUV前処理は、TEOSオゾンをベースとするシリケートガラスフ
ィルムの表面敏感さを実質的に低減する。
【0063】 例3 TEOSオゾンギャップ充填に対するVUV前処理の作用 TEOSオゾンフィルムによるギャップ充填がVUV前処理後に満足であるか
どうか判断するために、0.25μmのギャップをもつ浅い溝分離(STI)パ
ターンのウェハを調査した。熱酸化物の薄い層をシリコンウェハの上面に形成し
、そして溝の上部を窒化シリコンの層で覆った。ウェハは、例1のようにVUV
で前処理し、次いで、化学蒸着を用いてTEOSオゾン酸化物付着を施した。オ
ゾン濃度は120gm/m3であり、付着温度は400°Cであり、TEOS流 量は0.18gm/分即ち標準リッター/分であり、フィルムの成長率は500
Å/分であり、そして付着時間は10分であった。次いで、フィルムは、N2雰 囲気のもとで900°Cで30分間アニーリングした。次いで、6:1緩衝酸化
物エッチング(BOE)を8秒間使用して、ウェハにクラックを入れ、走査電子
顕微鏡写真の準備をした。
【0064】 図5a−bは、半導体ウェハデバイス500の異なる層を示す。図5aは、パ
ターン化された溝をもつシリコン基体504と、溝の底面及び側壁を含むウェハ
の全表面にわたり均一に付着された熱酸化物の層508とを示す。窒化シリコン
の蓋512が溝の上部を覆う。図5bは、電磁放射で前処理された同様のウェア
であって、その全面に高TEOSオゾンフィルムの層516が実質的に付着され
たウェハを示す。 図6は、図5について述べたように処理されたSTIウェハの走査電子顕微鏡
写真である。明らかなように、ギャップは、TEOSオゾンで完全に充填され、
目に見えるような欠陥はギャップに存在しない。更に、フィルムの表面は滑らか
に見え、目に見えるような粗面性はない。
【0065】 VUV放射の前処理は、USGフィルムの付着率を高め、他のやり方で得られ
るものよりも迅速にフィルムを成長させることができる。ギャップにおいてフィ
ルムが迅速に成長するので、フィルムが特徴部の上に「パンの塊」形状を形成し
てそれが頂部ギャップの上部間に延びる傾向がほとんどなくなり、反応性中間物
がギャップの内部へ侵入するのを防止する。 以上の説明は、本発明を単に例示するものに過ぎず、本発明の範囲を何ら限定
するものではない。本発明の範囲は、添付図面及び請求の範囲によって更に良く
理解されよう。
【図面の簡単な説明】
【図1】 半導体ウェハを、誘電体バリア放電装置から導出した電磁放射に露出するため
の本発明の実施形態を示す図である。
【図2】 半導体ウェハを、オープンエミッタ装置から導出した電磁放射に露出するため
の本発明の実施形態を示す図である。
【図3】 ウェハを電磁放射に露出するための構造体を含む半導体ウェハを処理するツー
ルを備えた本発明の実施形態を示す図である。
【図4a】 シリコン基体の上面に付着されたUSG層の表面を示す走査型電子顕微鏡写真
である。
【図4b】 熱酸化物基体の上面に付着された非ドープのシリケートガラス層の表面を示す
走査型電子顕微鏡写真である。
【図4c】 USGを付着する前に本発明により真空紫外線で1分間前処理された熱酸化物
基体の上面に付着されたUSG層の表面を示す走査電子顕微鏡写真である。
【図4d】 USG層を付着する前に本発明により真空紫外線で5分間前処理された熱酸化
物基体の上面に付着されたUSG層の表面を示す走査電子顕微鏡写真である。
【図5】 浅い溝の分離特徴部をもつウェハを示す図で、電磁放射によりウェハを前処理
した後にTEOSオゾン二酸化シリコン誘電体でギャップを完全に充填したとこ
ろを示す図である。
【図6】 USG層を付着する前に本発明により真空紫外線で熱酸化物基体を前処理した
後のUSGのギャップ充填特性を示す半導体デバイスの電子顕微鏡写真である。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SZ,UG,ZW),EA(AM ,AZ,BY,KG,KZ,MD,RU,TJ,TM) ,AL,AM,AT,AU,AZ,BA,BB,BG, BR,BY,CA,CH,CN,CU,CZ,DE,D K,EE,ES,FI,GB,GD,GE,GH,GM ,HR,HU,ID,IL,IS,JP,KE,KG, KP,KR,KZ,LC,LK,LR,LS,LT,L U,LV,MD,MG,MK,MN,MW,MX,NO ,NZ,PL,PT,RO,RU,SD,SE,SG, SI,SK,SL,TJ,TM,TR,TT,UA,U G,UZ,VN,YU,ZW (72)発明者 ラマナサン ササンガン アメリカ合衆国 カリフォルニア州 94583 サン ラモン ペダー ポイント ループ 140 アパートメント 516 (72)発明者 フォッギアト ギオヴァニ アントニオ アメリカ合衆国 カリフォルニア州 95037 モーガン ヒル オークウッド レーン 16340 Fターム(参考) 5F032 AA34 AA39 AA44 AA45 DA04 5F033 QQ53 RR04 RR06 RR13 RR14 SS04 SS11 SS15 XX12 5F045 AA08 AB32 AB33 AB35 AB36 AC09 AC11 AC13 AC15 AC16 AD08 AF03 BB14 EB02 EB13 EK12 HA08 5F058 BA05 BC02 BC04 BE10 BF07 BF25 BF29 BF32 BF33 BF37

Claims (47)

    【特許請求の範囲】
  1. 【請求項1】 表面の敏感さを減少するように半導体ウェハの表面を準備処
    理する方法において、 誘電体材料の層を表面に有する半導体基体を用意し、そして 上記半導体基体の表面の敏感さを減少するに充分な電磁放射にウェハの表面を
    露出させる、 という段階を含むことを特徴とする方法。
  2. 【請求項2】 上記誘電体材料は、熱酸化物、プラズマ増強化学蒸着により
    付着される二酸化シリコン、高密度プラズマ増強化学蒸着により付着される二酸
    化シリコン、ホスホロウスシリケートガラス、ボロホスホロウスガラス、スピン
    オンガラス及び窒化シリコンより成る群から選択される請求項1に記載の方法。
  3. 【請求項3】 表面の敏感さを減少するように半導体ウェハの表面を準備処
    理する方法において、 汚染物を表面に有する半導体基体を用意し、そして 上記半導体表面から汚染物を除去するに充分な電磁放射にウェハの表面を露出
    させる、 という段階を含むことを特徴とする方法。
  4. 【請求項4】 上記汚染物は、過剰なH2O、炭素含有分子、Si−OH結 合、Si−F結合、及びSi−O−Si結合の少なくとも1つを含む請求項3に
    記載の方法。
  5. 【請求項5】 上記電磁放射は、真空紫外線波長の範囲である請求項1又は
    3に記載の方法。
  6. 【請求項6】 上記電磁放射は、ほぼ真空紫外線からほぼ紫外線波長の範囲
    である請求項1又は3に記載の方法。
  7. 【請求項7】 上記波長は、約60ナノメータないし約550ナノメータで
    ある請求項6に記載の方法。
  8. 【請求項8】 上記電磁放射の強度は、約0.005W/cm2ないし約1 00W/cm2の範囲である請求項6に記載の方法。
  9. 【請求項9】 上記電磁放射は、エクシマー装置から導出される請求項6に
    記載の方法。
  10. 【請求項10】 上記電磁放射は、誘電体バリア放電装置から導出される請
    求項9に記載の方法。
  11. 【請求項11】 上記エクシマー装置は、貴ガスを使用する請求項9に記載
    の方法。
  12. 【請求項12】 表面の敏感さを減少するように半導体ウェハを準備処理す
    る方法において、 汚染物を表面に有する半導体基体を用意し、 上記ウェハ及びチャックをチャンバに配置し、 上記チャンバを排気し、そして エクシマーランプ、誘電体バリア放電装置及びオープンエクシマー装置の1つ
    から導出された電磁放射に、上記表面から汚染物を実質的に除去するに充分な時
    間、上記ウェハを露出する、 という段階を含むことを特徴とする方法。
  13. 【請求項13】 半導体ウェハを準備処理する方法において、 汚染物を表面に有する半導体基体を用意し、 上記ウェハをチャンバに配置し、 上記チャンバを排気し、 真空紫外線波長及び紫外線波長の少なくとも一方の電磁放射を放出すること
    のできるモイエティを上記チャンバに導入し、 上記モイエティから真空紫外線及び紫外線の少なくとも一方の放射を発生する
    に充分な電界に上記モイエティを露出し、そして 真空紫外線及び紫外線の少なくとも一方の放射に、上記半導体基体の表面から
    汚染物を実質的に除去するに充分な時間、上記ウェハを露出する、 という段階を含むことを特徴とする方法。
  14. 【請求項14】 上記モイエティは、ヘリウム、ネオン、クリプトン、Kr
    F、KrCl、水銀、セレニウム、XeF、XeCl、アルゴン/フッ素、水銀
    /アルゴン、重水素、窒素、アルゴン、キセノン、酸素及びアンモニアより成る
    群から選択される請求項13に記載の方法。
  15. 【請求項15】 半導体ウェハの表面を準備処理する装置において、 チャンバと、 上記チャンバ内に配置され、半導体ウェハを保持する加熱チャックであって、
    3次元的に移動することのできるチャックと、 真空紫外線及び紫外線の少なくとも一方の放射を上記チャンバ内で上記チャッ
    ク上に発生するソースと、 上記チャンバにガスを導入する入口ポートと、 上記チャンバを排気する排気ポートと、 上記チャンバの圧力を減少するポンプと、 を備えたことを特徴とする装置。
  16. 【請求項16】 上記放射をウェハに向けるための反射器を更に含む請求項
    15に記載の装置。
  17. 【請求項17】 半導体ウェハの表面を準備処理する装置において、 チャンバと、 上記チャンバ内に配置され、半導体ウェハを保持する加熱チャックと、 上記チャンバ内で上記チャック上に真空紫外線を発生するソースと、 を備えたことを特徴とする装置。
  18. 【請求項18】 上記チャンバ内で上記チャック上に紫外線を発生するソー
    スを更に備えた請求項17に記載の装置。
  19. 【請求項19】 上記真空紫外線のソースは、誘電体バリア放電から導出さ
    れる請求項17に記載の装置。
  20. 【請求項20】 上記真空紫外線のソースは、エクシマー装置である請求項
    17に記載の装置。
  21. 【請求項21】 誘電体材料の層を表面に含み、そして表面の敏感さを減少
    するに充分な真空紫外線及び紫外線の少なくとも一方の放射に表面を露出するこ
    とにより表面が準備処理された半導体ウェハ。
  22. 【請求項22】 上記誘電体材料は、熱酸化物、プラズマ増強化学蒸着によ
    り付着される二酸化シリコン、高密度プラズマ増強化学蒸着により付着される二
    酸化シリコン、ホスホロウスシリケートガラス、ボロホスホロウスガラス、スピ
    ンオンガラス及び窒化シリコンより成る群から選択される請求項21に記載の半
    導体ウェハ。
  23. 【請求項23】 上記半導体ウェハの表面は、表面の敏感さを減少するため
    に上記表面から汚染物を除去するに充分な真空紫外線及び紫外線の少なくとも一
    方の放射に上記表面を露出することにより準備処理される半導体ウェハ。
  24. 【請求項24】 半導体ウェハの表面に非ドープのシリケートガラスの層を
    形成する方法において、 誘電体材料の層を表面に含む半導体ウェハを用意し、 表面の敏感さを減少するに充分な真空紫外線及び紫外線の少なくとも一方の放
    射に上記ウェハの表面を露出し、そして 非ドープのシリケートガラスの層を上記表面に付着する、 という段階を含むことを特徴とする方法。
  25. 【請求項25】 上記誘電体材料は、熱酸化物、プラズマ増強化学蒸着によ
    り付着される二酸化シリコン、高密度プラズマ増強化学蒸着により付着される二
    酸化シリコン、ホスホロウスシリケートガラス、ボロホスホロウスガラス、スピ
    ンオンガラス及び窒化シリコンより成る群から選択される請求項24に記載の方
    法。
  26. 【請求項26】 半導体ウェハの表面に非ドープのシリケートガラスの層を
    形成する方法において、 汚染物を表面に含む半導体ウェハを用意し、 上記表面から汚染物を除去するに充分な真空紫外線及び紫外線の少なくとも一
    方の放射に上記ウェハの表面を露出し、そして 非ドープのシリケートガラスの層を上記表面に付着する、 という段階を含むことを特徴とする方法。
  27. 【請求項27】 上記非ドープのシリケートガラスは、搬送重合化及び化学
    蒸着プロセスの一方においてテトラエチルオーソシリケート及びオゾンを用いて
    形成される請求項24又は26に記載の方法。
  28. 【請求項28】 酸素に対するオゾンの割合は、約0ないし18体積%であ
    る請求項27に記載の方法。
  29. 【請求項29】 酸素に対するオゾンの割合は、約0.5ないし8体積%で
    ある請求項27に記載の方法。
  30. 【請求項30】 酸素に対するオゾンの割合は、約1ないし5体積%である
    請求項27に記載の方法。
  31. 【請求項31】 テトラエチルオーソシリケートの流量は、約0.05gm
    /分から約1.5gm/分までである請求項27に記載の方法。
  32. 【請求項32】 テトラエチルオーソシリケートの流量は、約0.18gm
    /分である請求項27に記載の方法。
  33. 【請求項33】 熱化学蒸着プロセスは、約100°Cないし約600°C
    の温度で行われる請求項27に記載の方法。
  34. 【請求項34】 熱化学蒸着プロセスは、約400°Cの温度で行われる請
    求項27に記載の方法。
  35. 【請求項35】 非ドープのシリケートガラスの層は、流れの形態である請
    求項26に記載の方法。
  36. 【請求項36】 半導体ウェハの表面の敏感さを減少する方法において、 誘電体材料を含む半導体基体を用意し、そして 非ドープのシリケートガラス層を後で付着できるに充分な電磁放射にウェハの
    表面を露出する、 という段階を含むことを特徴とする方法。
  37. 【請求項37】 上記誘電体材料は、熱酸化物、プラズマ増強化学蒸着によ
    り付着される二酸化シリコン、高密度プラズマ増強化学蒸着により付着される二
    酸化シリコン、ホスホロウスシリケートガラス、ボロホスホロウスガラス、スピ
    ンオンガラス及び窒化シリコンより成る群から選択される請求項36に記載の方
    法。
  38. 【請求項38】 非ドープのシリケートガラス層は、平面からのずれの実効
    値が約10Å以下である請求項36に記載の方法。
  39. 【請求項39】 非ドープのシリケートガラス層は、TEOSオゾン系酸化
    物層である請求項36に記載の方法。
  40. 【請求項40】 平面からのずれの実効値は、約2ないし約8Åである請求
    項36に記載の方法。
  41. 【請求項41】 半導体基体に半導体デバイス構造体を設け、 上記半導体デバイス構造体の上に横たわる導通ラインを設け、これら導通ライ
    ン間にはギャップが形成され、 上記導通ライン間にギャップが残るように上記基体の表面に誘電体層を設け、 上記熱酸化物層の表面の敏感さを減少するように電磁放射で上記誘電体層を処
    理し、上記ギャップを非ドープのシリケートガラス層で埋めることを特徴とする
    集積回路の製造方法。
  42. 【請求項42】 上記誘電体材料は、熱酸化物、プラズマ増強化学蒸着によ
    り付着される二酸化シリコン、高密度プラズマ増強化学蒸着により付着される二
    酸化シリコン、ホスホロウスシリケートガラス、ボロホスホロウスガラス、スピ
    ンオンガラス及び窒化シリコンより成る群から選択される請求項41に記載の方
    法。
  43. 【請求項43】 上記熱酸化物における非ドープのシリケートガラスの付着
    率は、約500Å/分ないし5000Å/分である請求項26に記載の方法。
  44. 【請求項44】 上記熱酸化物における非ドープのシリケートガラスの付着
    率は、約1000Å/分ないし2000Å/分である請求項26に記載の方法。
  45. 【請求項45】 上記熱酸化物における非ドープのシリケートガラスの付着
    率は、約1500Å/分である請求項26に記載の方法。
  46. 【請求項46】 前処理された誘電体層を後調整する方法において、 前処理された誘電体層を用意し、そして 上記前処理された誘電体層を汚染から保護するモイエティを用いて上記前処理
    された誘電体層を露出する、 という段階を含むことを特徴とする方法。
  47. 【請求項47】 上記モイエティは、アンモニア、塩素、HCl、F2、S F6、クロロフルオロカーボン、Br2、O2、H2、HF、H2SO4、ClSO3 及びH22より成る群から選択される請求項46に記載の方法。
JP2000524812A 1997-12-08 1998-11-19 電磁放射を用いた半導体の表面変更 Pending JP2002502108A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/986,916 1997-12-08
US08/986,916 US6015759A (en) 1997-12-08 1997-12-08 Surface modification of semiconductors using electromagnetic radiation
PCT/US1998/024998 WO1999030353A1 (en) 1997-12-08 1998-11-19 Surface modification of semiconductors using electromagnetic radiation

Publications (2)

Publication Number Publication Date
JP2002502108A true JP2002502108A (ja) 2002-01-22
JP2002502108A5 JP2002502108A5 (ja) 2006-01-05

Family

ID=25532874

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000524812A Pending JP2002502108A (ja) 1997-12-08 1998-11-19 電磁放射を用いた半導体の表面変更

Country Status (7)

Country Link
US (1) US6015759A (ja)
EP (1) EP1038307B1 (ja)
JP (1) JP2002502108A (ja)
KR (1) KR100672066B1 (ja)
AU (1) AU1600399A (ja)
TW (1) TW445527B (ja)
WO (1) WO1999030353A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005158796A (ja) * 2003-11-20 2005-06-16 Ushio Inc 処理装置
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
WO2017073396A1 (ja) * 2015-10-28 2017-05-04 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
JP2019509373A (ja) * 2016-02-20 2019-04-04 ウニヴェルズィテート カッセルUniversitaet Kassel 熱可塑性物質表面上でシリコーンの付着性を改善するための方法

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6124211A (en) * 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
US5954884A (en) 1997-03-17 1999-09-21 Fsi International Inc. UV/halogen metals removal process
US6165273A (en) * 1997-10-21 2000-12-26 Fsi International Inc. Equipment for UV wafer heating and photochemistry
US6465374B1 (en) 1997-10-21 2002-10-15 Fsi International, Inc. Method of surface preparation
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
US6221168B1 (en) 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6759306B1 (en) 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
US6451714B2 (en) * 1998-08-26 2002-09-17 Micron Technology, Inc. System and method for selectively increasing surface temperature of an object
JP2000294530A (ja) * 1999-04-06 2000-10-20 Nec Corp 半導体基板の洗浄方法及びその洗浄装置
US6272768B1 (en) * 1999-11-12 2001-08-14 Michael J. Danese Apparatus for treating an object using ultra-violet light
US6319809B1 (en) 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
DE10143997B4 (de) * 2001-09-07 2006-12-14 Infineon Technologies Ag Verfahren zur Herstellung einer integrierten Halbleiterschaltung mit einem Isolationsgraben
DE10145648B4 (de) * 2001-09-15 2006-08-24 Arccure Technologies Gmbh Bestrahlungsvorrichtung mit veränderlichem Spektrum
FR2840189B1 (fr) * 2002-05-30 2005-03-11 Jean Pierre Gemon Ecarteur hydraulique
WO2004027810A2 (en) * 2002-09-20 2004-04-01 Thomas Johnston System and method for removal of materials from an article
JP2004128195A (ja) * 2002-10-02 2004-04-22 Oki Electric Ind Co Ltd 保護膜の製造方法
US6730458B1 (en) 2003-03-03 2004-05-04 Samsung Electronics Co., Ltd. Method for forming fine patterns through effective glass transition temperature reduction
JP3972126B2 (ja) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
JP4971665B2 (ja) * 2006-03-31 2012-07-11 公立大学法人名古屋市立大学 皮膚疾患治療用光線治療器
US7663747B2 (en) * 2006-04-27 2010-02-16 Metrosol, Inc. Contamination monitoring and control techniques for use with an optical metrology instrument
US7342235B1 (en) 2006-04-27 2008-03-11 Metrosol, Inc. Contamination monitoring and control techniques for use with an optical metrology instrument
US7622310B2 (en) * 2006-04-27 2009-11-24 Metrosol, Inc. Contamination monitoring and control techniques for use with an optical metrology instrument
JP5052071B2 (ja) * 2006-08-25 2012-10-17 株式会社明電舎 酸化膜形成方法とその装置
US20080179286A1 (en) 2007-01-29 2008-07-31 Igor Murokh Dielectric plasma chamber apparatus and method with exterior electrodes
US20080302400A1 (en) * 2007-06-05 2008-12-11 Thomas Johnston System and Method for Removal of Materials from an Article
US7858532B2 (en) * 2007-08-06 2010-12-28 United Microelectronics Corp. Dielectric layer structure and manufacturing method thereof
US8524112B2 (en) * 2007-12-21 2013-09-03 Solvay Fluor Gmbh Process for the production of microelectromechanical systems
US8022377B2 (en) * 2008-04-22 2011-09-20 Applied Materials, Inc. Method and apparatus for excimer curing
US20110056513A1 (en) * 2008-06-05 2011-03-10 Axel Hombach Method for treating surfaces, lamp for said method, and irradiation system having said lamp
WO2010050189A1 (ja) * 2008-10-29 2010-05-06 株式会社アルバック 太陽電池の製造方法、エッチング装置及びcvd装置
CN102005372A (zh) * 2009-08-31 2011-04-06 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
CN102024681B (zh) * 2009-09-11 2012-03-07 中芯国际集成电路制造(上海)有限公司 用于制造半导体器件的方法
US8603292B2 (en) * 2009-10-28 2013-12-10 Lam Research Corporation Quartz window for a degas chamber
US8584612B2 (en) * 2009-12-17 2013-11-19 Lam Research Corporation UV lamp assembly of degas chamber having rotary shutters
US8492736B2 (en) 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
DE102011016935A1 (de) * 2011-04-13 2012-10-18 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines Licht emittierenden Halbleiterbauelements und Licht emittierendes Halbleiterbauelement
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
DE102012213787A1 (de) * 2012-08-03 2014-02-06 Robert Bosch Gmbh Oberflächenstrukturierung für zellbiologische und/oder medizinische Anwendungen
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
WO2015108184A1 (ja) * 2014-01-20 2015-07-23 ウシオ電機株式会社 デスミア処理装置
CN112578244A (zh) * 2020-12-08 2021-03-30 广西电网有限责任公司电力科学研究院 一种利用紫外光评估gis设备内部缺陷放电的方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2925667A1 (de) * 1979-05-22 1980-12-04 Bbc Brown Boveri & Cie Vorrichtung zur erzeugung von ozon
CH649518A5 (de) * 1979-08-02 1985-05-31 Bbc Brown Boveri & Cie Verfahren und schaltungsanordnung zur durchfuehrung von gasentladungsreaktionen.
CH642606A5 (de) * 1980-01-14 1984-04-30 Bbc Brown Boveri & Cie Ozonisator.
EP0054994B1 (de) * 1980-12-23 1984-02-01 BBC Aktiengesellschaft Brown, Boveri & Cie. Anordnung zur Erzeugung von Ozon durch elektrische Entladung
EP0171605B1 (en) * 1984-07-11 1990-02-14 Hitachi, Ltd. Method of forming an insulating film on a semiconductor body
US5173638A (en) * 1986-07-22 1992-12-22 Bbc Brown, Boveri Ag High-power radiator
CH670171A5 (ja) * 1986-07-22 1989-05-12 Bbc Brown Boveri & Cie
CH675178A5 (ja) * 1987-10-23 1990-08-31 Bbc Brown Boveri & Cie
JPH01134932A (ja) * 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd 基板清浄化方法及び基板清浄化装置
CH675504A5 (ja) * 1988-01-15 1990-09-28 Asea Brown Boveri
US5225355A (en) 1988-02-26 1993-07-06 Fujitsu Limited Gettering treatment process
JPH0228322A (ja) * 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
CH676168A5 (ja) * 1988-10-10 1990-12-14 Asea Brown Boveri
CH677292A5 (ja) * 1989-02-27 1991-04-30 Asea Brown Boveri
US5118989A (en) * 1989-12-11 1992-06-02 Fusion Systems Corporation Surface discharge radiation source
JPH0680657B2 (ja) * 1989-12-27 1994-10-12 株式会社半導体プロセス研究所 半導体装置の製造方法
EP0445535B1 (en) * 1990-02-06 1995-02-01 Sel Semiconductor Energy Laboratory Co., Ltd. Method of forming an oxide film
CH680099A5 (ja) * 1990-05-22 1992-06-15 Asea Brown Boveri
EP0463815B1 (en) * 1990-06-22 1995-09-27 Kabushiki Kaisha Toshiba Vacuum ultraviolet light source
JPH0719777B2 (ja) * 1990-08-10 1995-03-06 株式会社半導体プロセス研究所 半導体装置の製造方法
EP0489184B1 (de) * 1990-12-03 1996-02-28 Heraeus Noblelight GmbH Hochleistungsstrahler
DE4140497C2 (de) * 1991-12-09 1996-05-02 Heraeus Noblelight Gmbh Hochleistungsstrahler
EP0572704B1 (en) * 1992-06-05 2000-04-19 Semiconductor Process Laboratory Co., Ltd. Method for manufacturing a semiconductor device including method of reforming an insulating film formed by low temperature CVD
US5387546A (en) * 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
DE4222130C2 (de) * 1992-07-06 1995-12-14 Heraeus Noblelight Gmbh Hochleistungsstrahler
TW260806B (ja) * 1993-11-26 1995-10-21 Ushio Electric Inc
US5489553A (en) * 1995-05-25 1996-02-06 Industrial Technology Research Institute HF vapor surface treatment for the 03 teos gap filling deposition
US5536681A (en) * 1995-06-23 1996-07-16 Taiwan Semiconductor Manufacturing Company PE-OX/ozone-TEOS gap filling capability by selective N2 treatment on PE-OX

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005158796A (ja) * 2003-11-20 2005-06-16 Ushio Inc 処理装置
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
WO2017073396A1 (ja) * 2015-10-28 2017-05-04 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
JPWO2017073396A1 (ja) * 2015-10-28 2018-08-09 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
JP2019509373A (ja) * 2016-02-20 2019-04-04 ウニヴェルズィテート カッセルUniversitaet Kassel 熱可塑性物質表面上でシリコーンの付着性を改善するための方法

Also Published As

Publication number Publication date
EP1038307B1 (en) 2013-09-11
KR20010032904A (ko) 2001-04-25
EP1038307A1 (en) 2000-09-27
WO1999030353A9 (en) 1999-09-16
TW445527B (en) 2001-07-11
AU1600399A (en) 1999-06-28
EP1038307A4 (en) 2005-01-05
KR100672066B1 (ko) 2007-01-22
WO1999030353A1 (en) 1999-06-17
US6015759A (en) 2000-01-18

Similar Documents

Publication Publication Date Title
JP2002502108A (ja) 電磁放射を用いた半導体の表面変更
US7220685B2 (en) Method for depositing porous films
US7129175B2 (en) Method of manufacturing semiconductor device
US9123532B2 (en) Low-k dielectric damage repair by vapor-phase chemical exposure
US5426076A (en) Dielectric deposition and cleaning process for improved gap filling and device planarization
US20070299239A1 (en) Curing Dielectric Films Under A Reducing Atmosphere
KR100887439B1 (ko) 전자 장치용 기판 및 그 처리 방법
US20120208366A1 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
JP2013515355A (ja) 流動性cvd処理から形成された誘電体材料上で実行される湿式酸化処理
JP2002513203A (ja) 低κ誘電性無機/有機ハイブリッドフィルム及びその製造方法
US20040253777A1 (en) Method and apparatus for forming film
TWI581331B (zh) 降低多孔低k膜的介電常數之方法
JPH09275103A (ja) 成膜方法
JP3723085B2 (ja) 半導体装置の製造方法及び製造装置
JPH1079382A (ja) Si−F結合を有するSiO2膜の成膜方法および半導体装置
JP2001274155A (ja) 絶縁膜の形成方法
JPH06342786A (ja) 絶縁膜の形成方法および減圧cvd装置
JPH04110471A (ja) 薄膜形成方法
JP2002075980A (ja) 真空紫外光cvdによる低誘電体膜の製造方法
JPH01239852A (ja) 薄膜形成方法
JPH0927490A (ja) 堆積膜形成方法
JP2012204693A (ja) 基板処理装置及び半導体装置の製造方法
JPH01239851A (ja) 薄膜形成装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051024

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051024

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20051208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081002

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081020

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090316