JP2000311899A - 半導体デバイスの製造方法 - Google Patents

半導体デバイスの製造方法

Info

Publication number
JP2000311899A
JP2000311899A JP11356873A JP35687399A JP2000311899A JP 2000311899 A JP2000311899 A JP 2000311899A JP 11356873 A JP11356873 A JP 11356873A JP 35687399 A JP35687399 A JP 35687399A JP 2000311899 A JP2000311899 A JP 2000311899A
Authority
JP
Japan
Prior art keywords
layer
hard mask
etchant
etching
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11356873A
Other languages
English (en)
Inventor
Ward Downey Steven
ワード ダウニー スティーブン
Ien Alan
イェン アラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22790121&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2000311899(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JP2000311899A publication Critical patent/JP2000311899A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】サブミクロンのデザインルールの半導体集積回
路の製造方法を提供すること。 【解決手段】 本発明の半導体デバイスの製造方法は、
A:半導体基板1上に導電層3を堆積するステップと、
B:前記導電層3上にハードマスク層5を堆積するステ
ップと、C:前記ハードマスク層5上に厚さが0.86
μm以下のホトレジスト層7を堆積するステップと、
D:前記ホトレジスト層7をパターン化して前記ハード
マスク層の一部を露出するステップと、E:前記ハード
マスク層の露出した部分をハードマスク用エッチング剤
でもってエッチングして前記導電層3の一部を露出する
ステップと、F:前記導電層3の露出した部分を金属用
エッチング剤でエッチングするステップとからなること
を特徴とする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、集積回路と半導体
素子とその製造方法に関する。
【0002】
【従来の技術】シリコンデバイスおよび他の半導体デバ
イスの金属線の幅が0.3μm以下になると、導体であ
る金属ラインをパターン化するのに必要なホトレジスト
の厚さは、解像度を上げるために減少させる必要があ
る。通常ホトレジストは、エッチングされるべき金属層
の上部に直接形成される。しかし、ホトレジストの厚さ
を単に減少すると、後続の金属エッチング処理に際し、
正確でシャープな金属ラインを得るためにホトレジスト
が不十分となってしまう。厚さが1μm以上のホトレジ
スト系では、厚さが300nmの窒化シリコン製のハー
ドマスクが知られているが、それらは0.2μmのデザ
インルールの集積回路の製造をサポートするためには十
分薄いものではなく、また選択的エッチング特性を有し
ないものである。
【0003】
【発明が解決しようとする課題】したがって本発明の目
的は、サブミクロンのデザインルールの集積回路を製造
するために十分細い金属線を有する半導体集積回路の製
造方法を提供することである。
【0004】
【課題を解決するための手段】本発明の半導体デバイス
の製造方法は、請求項1に記載した特徴を有する。
【0005】
【発明の実施の形態】図1は、半導体基板1の上の導電
層3をパターン化する方法として、薄いホトレジスト層
7と薄いハードマスク層5を組み合わせた図を示してい
る。上記したようにシリコン製デバイスあるいは他の半
導体デバイスの金属線の幅は、0.30μm以下とな
り、このような細い線をパターン化するのに必要なホト
レジスト層の厚さもまた解像度を上げるために大幅に薄
くなっている。しかし、ホトレジスト層をこのように薄
くすると、金属エッチング処理の後、正確に形成された
シャープな金属線を得るためには、このようなホトレジ
スト層では不十分である。
【0006】このような問題は、金属エッチング剤に対
し大きな抵抗力を有する他の材料(例えば二酸化シリコ
ン製ハードマスク)を堆積し、その後このハードマスク
を薄いホトレジスト層でパターン化することにより解決
しうることが見いだされている。このようなプロセス
は、インシチュのハードマスクとして知られており、
0.2μm以下のデザインルールに適用可能である。
0.2μmのデザインルールでは、0.288μmの金
属ラインの幅と0.32μmの金属ライン間のスペース
が規定される。
【0007】ハードマスク用の酸化物は、酸化物エッチ
ング装置内でエッチングされ、その後ホトレジストの除
去ステップおよびさらに後続の洗浄ステップが行われ、
さらに金属エッチングが行われる。このハードマスク材
料は、金属エッチングの直後の同一の金属エッチング装
置を用いてエッチングされる。これにより処理プロセス
の変動が少なくなり、生産性が上がる。その理由は、様
々な処理ステップが、ハードマスクステップと金属エッ
チングステップの間で省略されるからである。
【0008】本発明の製造ステップは、酸化物製のハー
ドマスクをエッチングするためにフッ素ベース(C
4,SF6等)の化学物質を使い、その後金属エッチン
グ用の塩素ベースの化学物質を用いている。ホトレジス
トとハードマスクの両方が存在することは、正確でシャ
ープな線を描くために金属エッチングに対し利点があ
る。金属エッチングに際し、ホトレジストとハードマス
クの両方を用いることにより、これら両方の厚さを低減
することができ、これによりステッパーのサブミクロン
のリソグラフ機能を改善し生産性を向上させ低コストに
できる。
【0009】臨界寸法(critical dimensions=CD)を
制御することは、金属エッチングを行った後、最終ライ
ン幅の測定値の標準偏差を減らすことにより改善され
る。本発明の利点は、複数の処理ステップを省略するこ
とにより処理の変動を減らし、ウェハの臨界寸法の制御
を改良し、さらに生産性を上げ金属エッチングツールの
利用度を上げられることである。
【0010】図1において、半導体基板1はその上部表
面に順番に導電層3とハードマスク層5とホトレジスト
層7が堆積されている。その後ホトレジスト層7がパタ
ーン化され(図2)、ハードマスク層5がエッチングさ
れ(図3)、ホトレジスト層7が除去される(図4)、
そして最後に導電層3がエッチングされて所望の金属線
が形成される(図5)。導電層3をカバーするハードマ
スク層5は、ボンディングパッド等を形成するために、
除去することもあるいはそのままにしておくことも可能
である。
【0011】従来のプラクティスでは、ハードマスク層
をレジスト層として利用しないものである。ハードマス
ク層が存在しない場合には、1.07μmの厚さのホト
レジスト層が従来一般的であり、0.86μm以下の厚
さのホトレジストを用いて製金属線を造することは困難
であったが、しかし、本発明のハードマスクによればホ
トレジスト層7の厚さは0.86μm以下、好ましくは
0.76μm以下、さらに好ましくは0.56μm以下
にすることができる。
【0012】上記のプロセスでは、ホトレジスト層7の
厚さは0.76μmから0.56μmの範囲内である。
このハードマスク層の厚さは約200nmである。ハー
ドマスク層5とホトレジスト層7との間に反射防止コー
ティング層(図示せず)を設計的選択事項として配置す
ることも可能である。これらの反射防止コーティング層
は、通常窒化チタンまたは二酸化チタン製で厚さが25
nmである。
【0013】本発明の第2の実施例を図6−9に示す。
図6の層の積層は図1と同一であり、半導体基板9とそ
の上に導電層11とハードマスク13とホトレジスト1
5とが形成される。図7はホトレジスト層のパターン化
処理を示す。第1の実施例と第2の実施例との間のこの
ステップにおける差を図8に示す。ホトレジスト15は
ハードマスク13と導電層11の両方のエッチングの間
そこに残り、導電層11である金属層をエッチングする
前は除去されない。
【0014】本発明の利点は、ホトレジスト層15の存
在によりハードマスク13を保護することができ、これ
によりハードマスク13の厚さを100nm以下にでき
る点である。別法としてホトレジスト層15をその場所
に残しておくとは、側壁を不動態化したり、あるいはエ
ッチングのピットの中の化学量論的組成を変化させるこ
とができる。導電層11とハードマスク13の両方をエ
ッチングした後、ホトレジスト層15を除去した状態を
図9に示す。
【0015】本発明のハードマスクのような酸化物を除
去するのに用いられる装置は、MxP+酸化物ストリッ
パ(カリフォルニア州サンタクララのApplied Material
s 社から市販されている)であり、一方、金属エッチン
グは、Applied Materials 社から市販されている分離プ
ラズマソース(decoupled plasma source=DPS)で行
われる。
【0016】本発明の利点は、ハードマスクエッチング
と金属エッチングの両方は、例えばDSPのような同一
のソース内で順に行われ、処理中のウェハを異なる装置
内に移行させる必要がない点である。「インシチュ」と
は、同一の装置内でハードマスクと金属の両方をエッチ
ングすることを意味し、これは従来のハードマスクはM
pX+装置内でエッチングし、その後ウェハを金属エッ
チングを行うためにDPSの中に移送させる従来技術と
は異なる。
【0017】本発明の半導体基板は、シリコン,Ge,
III−V化合物(GaAs,InP,II−VI化合
物(例:硫化亜鉛)を含む。基板は半導体に限定される
ものではなく、また金属パッドと金属線は、シリコンサ
ファイア製の基板上のサファイア(酸化アルミ)の部分
(シリコンの部分ではなく)あるいはチップレベルの集
積回路光学電子デバイス上のリチウムナイオバイトある
いは他の光学電子材料上に形成することできる。
【0018】ハードマスク材料は、窒化チタン,シリコ
ン酸素窒化物,窒化シリコン、タングステン,チタン,
スピンオンの反射防止コーティングのような有機ハード
マスク,二酸化シリコン,スピンオンガラス,ボロシリ
ケ−ト含有ガラス,リンシリケートとボロンリンシリケ
ート,酸化タンタル,酸化アルミ,二酸化チタン等が好
ましい。二酸化シリコン製のハードマスクは、様々な技
術により形成されるが、プラズマ強化テトラエチルオロ
オルソシリケート(plasma enhanced tetraethylorthos
ilicate=PETEOS)が本発明の実施例では用いられ
た。
【0019】使用されるホトレジスト材料は従来のもの
であるが、本発明の特徴をだすためには、深紫外線レジ
ストでIーラインタイプと193nmタイプのものを含
むものが好ましい。電子ビームレジストも採用すること
ができる。
【0020】ハードマスクのエッチング剤は、フッ素を
含有するのが好ましい。エッチングガスを含むフッ素化
合物は以下のものを含む。CHF3,SF6,CH4,C
Cl22,CH22,CH3F,CHClF2CHCl2
F,C2ClF32,オクトフルオロシクロブタン(oct
ofluorocyclobutane),C3F6,S22,SF2,SF
4,S210,FCl,NF3,BF3,F2,Xe26
BFCl2,BF2Cl,XeF2,CH23CF3,CH
3CFCl2,CFCl3,C335,ClF3,Br
3,BrF5等である。
【0021】ハードマスク用のエッチング剤は、キャリ
アガスと、塩素と臭素等の非フッ素ハロゲンを含むガス
とを含む。塩素と臭素は、TiNの反射防止コーティン
グがハードマスクの上部表面に存在して除去する必要が
ある場合に特に好ましい。本発明の一実施例において
は、ハードマスクはウェットエッチングで除去される。
【0022】金属エッチングガスは、主に塩素と臭素の
ような非フッ素ハロゲンを含有するがフッ素種を以下に
説明するように少量含有する。大量の塩素を含有するガ
スにより金属がアルミの場合にはマイクロマスクが形成
される。金属は、導電性金属あるいは硅化物,銅,金,
銀,プラチナ,タングステン,モリブデン,クロム,ニ
ッケル,硅化コバルト,ジルコニウムあるいはチタン,
AlCuあるいはAlCuSi等の合金等である。金属
エッチング用ガスは、Cl2,ClO2,BCl 3,S2
2,HBr等を含む。
【0023】ハードマスク用ガスとエッチング用ガス
は、酸素,オゾン,H2O,CO,CO2,アルゴン,ヘ
リウム,クリプトン,窒素等により搬送される。窒素
は、不活性ガスと通常考えられているが、ある量の窒素
の存在は、金属をエッチングする際に好ましいものであ
る。使用される窒素の量がゼロになると、アプライドマ
テリアル社製のDPSを使用したときには、金属リフテ
ィングが5sccmの流速で表れる。12−20scc
mの流速が好ましいが、窒素の高速流は、ホトレジスト
の粒子の生成を引き起こす。窒素は、アルミ製の側壁を
不動態化するよう機能する。
【0024】パワーの設定、滞留時間、ガスの流速は、
装置固有のものであり、装置毎に変化する。好ましいガ
スの混合物とDPS装置用の流速に関しては、ハードマ
スクエッチング用ガスの混合物のアルゴン流は、20s
ccmないし300sccmであるが、なかでも約50
sccmが好ましい。ハードマスクエッチング用ガスは
また約10sccmのBCl3と、また約25sccm
のCHF3と、約30sccmのSF6とを有し、それら
の全てのガス圧は、5mTから24mTの範囲であり、
なかでも10mTが好ましい。
【0025】金属エッチング用混合ガスは、50ないし
70sccmのCl2と、20ないし50sccmのB
Cl3と、5ないし20sccmのN2と、最大15sc
cmのSF6を含有し、これらの圧力は約10ないし1
8mTである。電気装置のパラメータは、400Wない
し2000Wであり、なかでも1400Wが好ましく、
バイアスパワーは80Wないし500Wで、なかでも約
180Wが好ましい。本発明のプロセスは、300nm
/分以上のエッチングレートを達成し、非均一性は2.
5%以下である。
【0026】実験例 実験例1−17 表1は、DPS内で行われたハードマスクエッチングの
反応パラメータとその結果とを示す。Ox−ERは、酸
化物エッチングレートで単位はnm/30秒、Ox−S
TDVは、酸化物の臨界寸法標準偏差であり、PR−E
Rは、ホトレジストのエッチングレートで単位はnm/
30秒、PR−STDVは、ホトレジストの臨界寸法標
準偏差であり、これは非均一率のパーセント表示であ
る。標準偏差が小さいのが好ましい。選択性は、ホトレ
ジスト対酸化物で同じく選択性が低いのが好ましい。エ
ッチングはブランケットでパターン化されていないウェ
ハに対し実行された。
【表1】
【0027】実験例18 表2は、HMの表題の下で実験例8に実行したのと同一
のホトレジストとハードマスクのエッチングの詳細を示
す。0.76μmのホトレジストと100nmのPET
EOSの二酸化シリコンが用いられた。"Main"と"Over"
("overetch"の略)と、"Barrier"と"Over"は、上記の
例では行われなかった後続の金属エッチングのものであ
る。"Main"はAlCuの場合の金属のメインエッチング
であり、"Barrier"はTiN/Nのようなバリア層のエ
ッチングを示す。"Overetch"は、残留金属あるいはバリ
ア層を除去するためのクリンナップ(洗浄)エッチング
である。"Main"ステップと"Barier"ステップの間のオー
バエッチングステップは、好ましいことではあるがこれ
は選択的事項である。
【表2】
【0028】実験例19 TiNのオーバコーティングを具備した二酸化シリコン
製のハードマスクをMxP+の装置内でエッチングし
た。パワーは450Wで、圧力は85mTで、アルゴン
流速は60sccmで、CHF3流速は20sccm
で、CF4フローは20sccmで、フィールドは50
Gで、時間は48秒であった。その結果得られたエッチ
ングレートは、275nm/分で均一性は2.5%以下
であった。
【0029】実験例21−23 臨界寸法測定値(各々に対して9回)がインシチュのハ
ードマスク(HM)に対し実行された。その結果を表3
に示す。MxPHMw/PRは、MxP+ハードマスク
エッチングと、ホトレジストのストリップがなく、その
後DPSを行い、一方、MxPHw/oPRは、MxP
+ハードマスクエッチングと、ホトレジスト(PR)ス
トリップを有し、その後DPSを行う。”Mean”(平
均)の単位はミクロンで、"Std Dev"は標準偏差で、"St
d Err Mean"は標準誤差平均である。本発明のインシチ
ュのハードマスクの処理の標準偏差は、他の方法の約半
分であった。
【表3】
【0030】実験例24 PETEOSを介して堆積した二酸化シリコンをDPS
でエッチングした。トップパワーは1000Wで、バイ
アスパワーは140Wで、圧力は17mTで、アルゴン
流速は40sccmで、CHF3の流速は20sccm
で、CF4の流速は20sccmで、BCl3の流速は1
0sccmで、時間は48秒であった。その結果得られ
たエッチングレートは230nm/分であった。
【0031】実験例25−26 実験例25は表4に示すように、25nmTiN/60
0nmAlCu/60nmTiNと、30nmTiで、
ハードマスクなしで、ショットが580/−0.1の上
に、1.07μmのUltra I−120レジスト(マサチ
ューセッツ州マールボロのShipley, Inc. から市販され
ているもの)に対する制御ライン幅パターンの結果を示
す。テストパターンは、nested nominal と subnominal
(あるいは楕円と矩形を交互にし)と、分離したnomina
lとsubnominals(楕円)、ショット480/−0.1で
ある。測定値は線50/1/1/1である。
【0032】実験例26は表5に示し、積層体上の0.
76μmのUltra I−120のホトレジストと100n
mのハードマスクに対するライン幅パターンの結果を示
す。PR欄は、ホトレジストのライン幅で、3シグマ
(A)はライン幅の変動の測定値である。FNは、エッ
チングした後の最終ライン幅を表し、3シグマ(B)は
最終ライン幅の変動である。LCFはライン幅制御特徴
物である。
【表4】
【表5】
【0033】
【発明の効果】制御(表4)の最終ライン幅の変動は、
0.042から0.075の範囲で平均3シグマは0.
064であった。本発明は最終ライン幅の3シグマとし
て表されるようにその変動は、0.039から0.63
であり、平均3シグマは0.05で、従来は3シグマの
変動が平均で測定した場合28%大きい。
【図面の簡単な説明】
【図1】本発明の一実施例における下から上へ、金属
層、ハードマスク層、ホトレジスト層を有する半導体ウ
ェハの断面図
【図2】本発明の一実施例においてホトレジスト層をパ
ターン化した状態の半導体ウェハの断面図
【図3】本発明の一実施例においてパータン化されたハ
ードマスク層を形成するためにハードマスク層が部分的
にエッチングで取り除かれた状態を示す半導体ウェハの
断面図
【図4】本発明の一実施例におけるホトレジスト層を除
去した後の半導体ウェハの断面図
【図5】本発明の一実施例におけるパターン化した金属
層を形成するために金属層がエッチングで除去された状
態を示す半導体ウェハの断面図
【図6】本発明の他の実施例における下から上へ、金属
層、ハードマスク層、ホトレジスト層を有する半導体ウ
ェハの断面図
【図7】本発明の他の実施例においてホトレジスト層を
パターン化した状態の半導体ウェハの断面図
【図8】本発明の他の実施例におけるパータン化された
金属層とハードマスク層を形成するために金属層とハー
ドマスク層がエッチングで除去されたときにパータン化
されたホトレジスト層がその場に残った状態の半導体ウ
ェハの断面図
【図9】本発明の他の実施例において金属層とハードマ
スク層を除去した後、選択的事項であるが好ましくはパ
ータン化されたホトレジスト層が除去された状態を示す
半導体ウェハの断面図
【符号の説明】
1,9 半導体基板 3,11 導電層 5,13 ハードマスク層 7,15 ホトレジスト層
───────────────────────────────────────────────────── フロントページの続き (71)出願人 596077259 600 Mountain Avenue, Murray Hill, New Je rsey 07974−0636U.S.A. (72)発明者 アラン イェン アメリカ合衆国,32836 フロリダ,オー ランド,エメラルド ウッズ アヴェニュ ー 10432

Claims (15)

    【特許請求の範囲】
  1. 【請求項1】 (A) 半導体基板(1)上に、導電層
    (3)を堆積するステップと、 (B) 前記導電層(3)上に、ハードマスク層(5)
    を堆積するステップと、 (C) 前記ハードマスク層(5)上に、厚さが0.8
    6μm以下のホトレジスト層(7)を堆積するステップ
    と、 (D) 前記ホトレジスト層(7)をパターン化して前
    記ハードマスク層の一部を露出するステップと、 (E) 前記ハードマスク層の露出した部分をハードマ
    スク用エッチング剤でもってエッチングして、前記導電
    層(3)の一部を露出するステップと、 (F) 前記導電層(3)の露出した部分を金属用エッ
    チング剤でエッチングするステップと、からなることを
    特徴とする半導体デバイスの製造方法。
  2. 【請求項2】 前記ハードマスク(5)は、二酸化シリ
    コン,スピンオンガラス,シリコン酸素窒化物,窒化チ
    タン,タングステン,チタン,酸化タンタル,酸化アル
    ミおよびその混合物からなるグループから選択された材
    料製であることを特徴とする請求項1記載の製造方法。
  3. 【請求項3】 前記ハードマスク層(5)の厚さは、1
    00nmから225nmの範囲であることを特徴とする
    請求項1記載の製造方法。
  4. 【請求項4】 前記ハードマスク層(5)の厚さは、1
    25nmであることを特徴とする請求項1記載の製造方
    法。
  5. 【請求項5】 前記ハードマスク層(5)の厚さは、最
    大100nmであることを特徴とする請求項1記載の製
    造方法。
  6. 【請求項6】 前記ホトレジスト層(5)の厚さは、
    0.56μmから0.76μmの範囲であることを特徴
    とする請求項1記載の製造方法。
  7. 【請求項7】 前記ハードマスク用エッチング剤は、フ
    ッ素含有ガスを含むことを特徴とする請求項1記載の製
    造方法。
  8. 【請求項8】 前記ハードマスク用エッチング剤は、C
    HF3,SF6,CH 4,CCl22,CH22,CH
    3F,CHClF2CHCl2F,C2ClF32,オクト
    フルオロシクロブタン(octofluorocyclobutane),C3
    F6,S22,SF2,SF4,S210,FCl,N
    3,BF3,F2,Xe26,BFCl2,BF2Cl,
    XeF2,CH23CF3,CH3CFCl2,CFC
    3,C335,ClF3,BrF3,BrF5とそれら
    の混合物からなるグループから選択されたフッ素含有ガ
    スを含むことを特徴とする請求項1記載の製造方法。
  9. 【請求項9】 前記金属用エッチング剤は、塩素含有ガ
    ス、臭素含有ガスおよびその混合物からなるグループか
    ら選択されたガスを含有することを特徴とする請求項1
    記載の製造方法。
  10. 【請求項10】 前記金属用エッチング剤は、Cl2
    ClO2,BCl3,S2Cl2,HBrとそれらの混合物
    からなるグループから選択されたガスを含有することを
    特徴とする請求項1記載の製造方法。
  11. 【請求項11】 前記金属用エッチング剤は、窒素をさ
    らに含有することを特徴とする請求項10記載の製造方
    法。
  12. 【請求項12】 (G) 前記導電層(3)の露出部分
    をエッチングする前に前記ホトレジスト層(7)を除去
    するステップをさらに有することを特徴とする請求項1
    記載の製造方法。
  13. 【請求項13】 (A) 半導体基板(1)上に、導電
    層(3)を堆積するステップと、 (B) 前記導電層(3)上にハードマスク層(5)を
    堆積するステップと、 前記ハードマスク層(5)は、200nm厚の二酸化シ
    リコン層と25nm厚の窒化チタン層を含む、 (C) 前記ハードマスク層(5)の上にホトレジスト
    層(7)を堆積するステップと、 前記ホトレジスト層(7)の厚さは、0.76μm以下
    であり、 (D) 前記ホトレジスト層(7)をパターン化して前
    記ハードマスク層(5)の一部を露出するステップと、 (E) 前記ハードマスク層(5)の露出した部分を第
    1エッチング剤でもってエッチングして、前記導電層
    (3)の一部を露出するステップと、 前記第1エッチング剤は、SF6,CHF3,BCl3
    Cl2とそれらの混合物からなるグループから選択され
    たエッチング剤を含み、 (F) 前記導電層(3)の露出した部分を第2エッチ
    ング剤でエッチングするステップと、 前記第2エッチング剤は、N2,BCl3,Cl2とそれ
    らの混合物からなるグループから選択されたエッチング
    剤を含むことを特徴とする半導体デバイスの製造方法。
  14. 【請求項14】 請求項13の方法により製造された半
    導体デバイス。
  15. 【請求項15】 (A) 半導体基板(1)上に導電層
    (3)を堆積するステップと、 (B) 前記導電層(3)上にハードマスク層(5)を
    堆積するステップと、 前記ハードマスク層(5)は、100nm厚の二酸化シ
    リコン層と25nm厚の窒化チタン層を含む、 (C) 前記ハードマスク層(5)の上にホトレジスト
    層(7)を堆積するステップと、 前記ホトレジスト層(7)の厚さは、0.76μm以下
    であり、 (D) 前記ホトレジスト層(7)をパターン化して前
    記ハードマスク層(5)の一部を露出するステップと、 (E) 前記ハードマスク層(5)の露出した部分を第
    1エッチング剤でもってエッチングして、前記導電層の
    一部を露出しホトレジスト層を残すステップと、 前記第1エッチング剤は、SF6,CHF3,BCl3
    Cl2とそれらの混合物からなるグループから選択され
    たエッチング剤を含み、 (F) 前記導電層(3)の露出した部分を第2エッチ
    ング剤でエッチングするステップと、 前記第2エッチング剤は、N2,BCl3,Cl2とそれ
    らの混合物からなるグループから選択されたエッチング
    剤を含むことを特徴とする半導体デバイスの製造方法。
JP11356873A 1998-12-16 1999-12-16 半導体デバイスの製造方法 Pending JP2000311899A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/212228 1998-12-16
US09/212,228 US6194323B1 (en) 1998-12-16 1998-12-16 Deep sub-micron metal etch with in-situ hard mask etch

Publications (1)

Publication Number Publication Date
JP2000311899A true JP2000311899A (ja) 2000-11-07

Family

ID=22790121

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11356873A Pending JP2000311899A (ja) 1998-12-16 1999-12-16 半導体デバイスの製造方法

Country Status (3)

Country Link
US (1) US6194323B1 (ja)
JP (1) JP2000311899A (ja)
KR (1) KR100716436B1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270831A (ja) * 2001-03-13 2002-09-20 Fuji Electric Co Ltd 半導体装置の製造方法
JP2005347746A (ja) * 2004-06-02 2005-12-15 Hynix Semiconductor Inc 半導体素子のゲート電極形成方法
US7109127B2 (en) 2003-11-06 2006-09-19 Renesas Technology Corp. Manufacturing method of semiconductor device
CN101905854A (zh) * 2009-06-04 2010-12-08 台湾积体电路制造股份有限公司 电子元件及其制法、电子系统
JP2012500480A (ja) * 2008-08-20 2012-01-05 エーシーエム リサーチ (シャンハイ) インコーポレーテッド バリア層除去方法及び装置
JP2014150268A (ja) * 2002-10-31 2014-08-21 Applied Materials Inc シリコン含有ハードマスクをエッチングする方法
US9224745B2 (en) 2011-12-28 2015-12-29 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device
JP2017528910A (ja) * 2014-08-05 2017-09-28 東京エレクトロン株式会社 マイクロエレクトロニクス基板上のドライハードマスク除去のための方法
JP2020107793A (ja) * 2018-12-28 2020-07-09 三菱電機株式会社 半導体装置の製造方法
WO2023199371A1 (ja) * 2022-04-11 2023-10-19 株式会社日立ハイテク プラズマ処理方法

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7550794B2 (en) * 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
EP1014434B1 (de) * 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
US6420099B1 (en) * 1999-08-02 2002-07-16 Infineon Technologies Ag Tungsten hard mask for dry etching aluminum-containing layers
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
WO2003007049A1 (en) 1999-10-05 2003-01-23 Iridigm Display Corporation Photonic mems and structures
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6420097B1 (en) * 2000-05-02 2002-07-16 Advanced Micro Devices, Inc. Hardmask trim process
JP4605554B2 (ja) * 2000-07-25 2011-01-05 独立行政法人物質・材料研究機構 ドライエッチング用マスク材
US6794119B2 (en) * 2002-02-12 2004-09-21 Iridigm Display Corporation Method for fabricating a structure for a microelectromechanical systems (MEMS) device
US6861177B2 (en) * 2002-02-21 2005-03-01 Hitachi Global Storage Technologies Netherlands B.V. Method of forming a read sensor using a lift-off mask having a hardmask layer and a release layer
US6913870B2 (en) * 2002-05-10 2005-07-05 International Business Machines Corporation Fabrication process using a thin liftoff stencil formed by an image transfer process
KR100464430B1 (ko) * 2002-08-20 2005-01-03 삼성전자주식회사 하드 마스크를 이용한 알루미늄막 식각 방법 및 반도체소자의 배선 형성 방법
US6930048B1 (en) * 2002-09-18 2005-08-16 Lam Research Corporation Etching a metal hard mask for an integrated circuit structure
US7781850B2 (en) * 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
US7137190B2 (en) 2002-10-03 2006-11-21 Hitachi Global Storage Technologies Netherlands B.V. Method for fabricating a magnetic transducer with a corrosion resistant layer on metallic thin films by nitrogen exposure
US6804879B2 (en) * 2002-10-23 2004-10-19 Hitachi Global Storage Technologies Netherlands, B.V. Method of fabricating a magnetic transducer with a write head having a multi-layer coil
US20040192059A1 (en) * 2003-03-28 2004-09-30 Mosel Vitelic, Inc. Method for etching a titanium-containing layer prior to etching an aluminum layer in a metal stack
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TW570896B (en) * 2003-05-26 2004-01-11 Prime View Int Co Ltd A method for fabricating an interference display cell
US7221495B2 (en) * 2003-06-24 2007-05-22 Idc Llc Thin film precursor stack for MEMS manufacturing
US7446050B2 (en) * 2003-08-04 2008-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and plasma treatment process to improve a gate profile
TWI231865B (en) * 2003-08-26 2005-05-01 Prime View Int Co Ltd An interference display cell and fabrication method thereof
TWI232333B (en) * 2003-09-03 2005-05-11 Prime View Int Co Ltd Display unit using interferometric modulation and manufacturing method thereof
TW593126B (en) * 2003-09-30 2004-06-21 Prime View Int Co Ltd A structure of a micro electro mechanical system and manufacturing the same
US20060066932A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of selective etching using etch stop layer
US7405861B2 (en) * 2004-09-27 2008-07-29 Idc, Llc Method and device for protecting interferometric modulators from electrostatic discharge
US7684104B2 (en) 2004-09-27 2010-03-23 Idc, Llc MEMS using filler material and method
US7349136B2 (en) * 2004-09-27 2008-03-25 Idc, Llc Method and device for a display having transparent components integrated therein
US20060065366A1 (en) * 2004-09-27 2006-03-30 Cummings William J Portable etch chamber
US7373026B2 (en) * 2004-09-27 2008-05-13 Idc, Llc MEMS device fabricated on a pre-patterned substrate
US7417783B2 (en) * 2004-09-27 2008-08-26 Idc, Llc Mirror and mirror layer for optical modulator and method
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
US7429334B2 (en) * 2004-09-27 2008-09-30 Idc, Llc Methods of fabricating interferometric modulators by selectively removing a material
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US7161730B2 (en) * 2004-09-27 2007-01-09 Idc, Llc System and method for providing thermal compensation for an interferometric modulator display
US7553684B2 (en) * 2004-09-27 2009-06-30 Idc, Llc Method of fabricating interferometric devices using lift-off processing techniques
US7327510B2 (en) * 2004-09-27 2008-02-05 Idc, Llc Process for modifying offset voltage characteristics of an interferometric modulator
US7369296B2 (en) * 2004-09-27 2008-05-06 Idc, Llc Device and method for modifying actuation voltage thresholds of a deformable membrane in an interferometric modulator
US7492502B2 (en) * 2004-09-27 2009-02-17 Idc, Llc Method of fabricating a free-standing microstructure
TW200628877A (en) * 2005-02-04 2006-08-16 Prime View Int Co Ltd Method of manufacturing optical interference type color display
KR100669560B1 (ko) * 2005-05-16 2007-01-15 주식회사 하이닉스반도체 반도체 소자의 도전 배선 형성 방법
US7403287B2 (en) * 2005-06-08 2008-07-22 Canon Kabushiki Kaisha Sensing element used in sensing device for sensing target substance in specimen by using plasmon resonance
EP2495212A3 (en) * 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. Mems devices having support structures and methods of fabricating the same
EP1910216A1 (en) * 2005-07-22 2008-04-16 QUALCOMM Incorporated Support structure for mems device and methods therefor
WO2007041302A2 (en) 2005-09-30 2007-04-12 Qualcomm Mems Technologies, Inc. Mems device and interconnects for same
US7241695B2 (en) * 2005-10-06 2007-07-10 Freescale Semiconductor, Inc. Semiconductor device having nano-pillars and method therefor
US7630114B2 (en) * 2005-10-28 2009-12-08 Idc, Llc Diffusion barrier layer for MEMS devices
US7795061B2 (en) * 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US7382515B2 (en) * 2006-01-18 2008-06-03 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US7652814B2 (en) 2006-01-27 2010-01-26 Qualcomm Mems Technologies, Inc. MEMS device with integrated optical element
US7547568B2 (en) * 2006-02-22 2009-06-16 Qualcomm Mems Technologies, Inc. Electrical conditioning of MEMS device and insulating layer thereof
US7450295B2 (en) * 2006-03-02 2008-11-11 Qualcomm Mems Technologies, Inc. Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US20070228156A1 (en) * 2006-03-28 2007-10-04 Household Corporation Interoperability facilitator
US7643203B2 (en) * 2006-04-10 2010-01-05 Qualcomm Mems Technologies, Inc. Interferometric optical display system with broadband characteristics
US7623287B2 (en) * 2006-04-19 2009-11-24 Qualcomm Mems Technologies, Inc. Non-planar surface structures and process for microelectromechanical systems
US7711239B2 (en) * 2006-04-19 2010-05-04 Qualcomm Mems Technologies, Inc. Microelectromechanical device and method utilizing nanoparticles
US7417784B2 (en) * 2006-04-19 2008-08-26 Qualcomm Mems Technologies, Inc. Microelectromechanical device and method utilizing a porous surface
US20070249078A1 (en) * 2006-04-19 2007-10-25 Ming-Hau Tung Non-planar surface structures and process for microelectromechanical systems
US7369292B2 (en) * 2006-05-03 2008-05-06 Qualcomm Mems Technologies, Inc. Electrode and interconnect materials for MEMS devices
US7321457B2 (en) * 2006-06-01 2008-01-22 Qualcomm Incorporated Process and structure for fabrication of MEMS device having isolated edge posts
JP5143382B2 (ja) * 2006-07-27 2013-02-13 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
US7566664B2 (en) * 2006-08-02 2009-07-28 Qualcomm Mems Technologies, Inc. Selective etching of MEMS using gaseous halides and reactive co-etchants
US7763546B2 (en) 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US7535621B2 (en) 2006-12-27 2009-05-19 Qualcomm Mems Technologies, Inc. Aluminum fluoride films for microelectromechanical system applications
US7733552B2 (en) * 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
US7570415B2 (en) * 2007-08-07 2009-08-04 Qualcomm Mems Technologies, Inc. MEMS device and interconnects for same
US7719754B2 (en) * 2008-09-30 2010-05-18 Qualcomm Mems Technologies, Inc. Multi-thickness layers for MEMS and mask-saving sequence for same
JP2010226022A (ja) * 2009-03-25 2010-10-07 Elpida Memory Inc 半導体装置の製造方法
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
US20130019918A1 (en) 2011-07-18 2013-01-24 The Regents Of The University Of Michigan Thermoelectric devices, systems and methods
US9140900B2 (en) 2011-07-20 2015-09-22 Pixtronix, Inc. Displays having self-aligned apertures and methods of making the same
WO2014070795A1 (en) * 2012-10-31 2014-05-08 Silicium Energy, Inc. Methods for forming thermoelectric elements
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
EP3123532B1 (en) 2014-03-25 2018-11-21 Matrix Industries, Inc. Thermoelectric devices and systems
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
SG11201706167QA (en) * 2015-02-15 2017-09-28 Acm Res (Shanghai) Inc Method for removing barrier layer for minimizing sidewall recess
US10228618B2 (en) 2015-10-13 2019-03-12 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US10199223B2 (en) 2016-01-26 2019-02-05 Asm Ip Holding B.V. Semiconductor device fabrication using etch stop layer
CN109219780A (zh) 2016-05-03 2019-01-15 美特瑞克斯实业公司 热电设备和系统
CN109844621A (zh) * 2016-08-12 2019-06-04 哈佛学院院长等 微机械薄膜锂铌酸锂电光装置
US10121660B2 (en) 2016-08-18 2018-11-06 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
USD819627S1 (en) 2016-11-11 2018-06-05 Matrix Industries, Inc. Thermoelectric smartwatch

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3353517B2 (ja) * 1995-01-24 2002-12-03 ソニー株式会社 Al系金属層のプラズマエッチング方法
JP3371180B2 (ja) * 1995-06-09 2003-01-27 ソニー株式会社 配線形成方法
US5950106A (en) * 1996-05-14 1999-09-07 Advanced Micro Devices, Inc. Method of patterning a metal substrate using spin-on glass as a hard mask
US6020269A (en) * 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002270831A (ja) * 2001-03-13 2002-09-20 Fuji Electric Co Ltd 半導体装置の製造方法
JP2014150268A (ja) * 2002-10-31 2014-08-21 Applied Materials Inc シリコン含有ハードマスクをエッチングする方法
US7109127B2 (en) 2003-11-06 2006-09-19 Renesas Technology Corp. Manufacturing method of semiconductor device
US7419916B2 (en) 2003-11-06 2008-09-02 Renesas Technology Corp. Manufacturing method of semiconductor device
JP2005347746A (ja) * 2004-06-02 2005-12-15 Hynix Semiconductor Inc 半導体素子のゲート電極形成方法
US8598039B2 (en) 2008-08-20 2013-12-03 Acm Research (Shanghai) Inc. Barrier layer removal method and apparatus
JP2012500480A (ja) * 2008-08-20 2012-01-05 エーシーエム リサーチ (シャンハイ) インコーポレーテッド バリア層除去方法及び装置
CN101905854A (zh) * 2009-06-04 2010-12-08 台湾积体电路制造股份有限公司 电子元件及其制法、电子系统
US9224745B2 (en) 2011-12-28 2015-12-29 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device
JP2017528910A (ja) * 2014-08-05 2017-09-28 東京エレクトロン株式会社 マイクロエレクトロニクス基板上のドライハードマスク除去のための方法
JP2020107793A (ja) * 2018-12-28 2020-07-09 三菱電機株式会社 半導体装置の製造方法
JP7036001B2 (ja) 2018-12-28 2022-03-15 三菱電機株式会社 半導体装置の製造方法
WO2023199371A1 (ja) * 2022-04-11 2023-10-19 株式会社日立ハイテク プラズマ処理方法
JP7498367B2 (ja) 2022-04-11 2024-06-11 株式会社日立ハイテク プラズマ処理方法

Also Published As

Publication number Publication date
KR20000048178A (ko) 2000-07-25
KR100716436B1 (ko) 2007-05-10
US6194323B1 (en) 2001-02-27

Similar Documents

Publication Publication Date Title
JP2000311899A (ja) 半導体デバイスの製造方法
EP0987745B1 (en) Metallization etching method using a hard mask layer
US6291137B1 (en) Sidewall formation for sidewall patterning of sub 100 nm structures
JPH06140396A (ja) 半導体装置とその製法
JP2000216161A (ja) 無機反射防止膜を使った配線形成方法
USRE40007E1 (en) In-situ strip process for polysilicon etching in deep sub-micron technology
TW417165B (en) Manufacturing method for reducing the critical dimension of the wire and gap
JP3028312B2 (ja) 半導体素子の多層膜の乾式エッチング方法
US5387312A (en) High selective nitride etch
US6420099B1 (en) Tungsten hard mask for dry etching aluminum-containing layers
US5968711A (en) Method of dry etching A1Cu using SiN hard mask
JP2891952B2 (ja) 半導体装置の製造方法
US20040038547A1 (en) Method of etching a metal layer using a mask, a metallization method for a semiconductor device, a method of etching a metal layer, and an etching gas
US6903023B2 (en) In-situ plasma etch for TERA hard mask materials
JP3760843B2 (ja) 半導体装置の製造方法
JP2861785B2 (ja) 半導体装置の配線の形成方法
US7055532B2 (en) Method to remove fluorine residue from bond pads
JP3277414B2 (ja) ドライエッチング方法
JP3082396B2 (ja) 半導体装置の製造方法
US6586324B2 (en) Method of forming interconnects
JPH08186120A (ja) 半導体装置の製造方法
JPH11238732A (ja) 配線構造およびボンディングパッド開口の形成法
JP3487734B2 (ja) パターン形成方法
KR100253315B1 (ko) 반도체소자의 배선형성을 위한 식각방법
JPH06163479A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040428

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040728

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041028

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050720

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20051003

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20051202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061102

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061108