EP1900005A1 - Dispositif et procede de traitment des materiaux dielectriques - Google Patents

Dispositif et procede de traitment des materiaux dielectriques

Info

Publication number
EP1900005A1
EP1900005A1 EP05763782A EP05763782A EP1900005A1 EP 1900005 A1 EP1900005 A1 EP 1900005A1 EP 05763782 A EP05763782 A EP 05763782A EP 05763782 A EP05763782 A EP 05763782A EP 1900005 A1 EP1900005 A1 EP 1900005A1
Authority
EP
European Patent Office
Prior art keywords
substrate
ultraviolet
process chamber
radiation source
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP05763782A
Other languages
German (de)
English (en)
Inventor
Carlo Waldfried
Christopher Garmer
Orlando Escorcia
Ivan Berry
Palanikumaran Sakthivel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of EP1900005A1 publication Critical patent/EP1900005A1/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

Definitions

  • This disclosure generally relates to an apparatus for curing and/or removing porogens from dielectric materials, and in particular, to an apparatus for curing and/or removing porogens from low k dielectric materials with ultraviolet radiation in a controlled environment.
  • Capacitive crosstalk is generally a function of both the distance between conductors and the dielectric constant (k) of the material placed in between the conductors.
  • k dielectric constant
  • the apparatus comprises a radiation source module comprising a reflector, an ultraviolet radiation source, and a plate transmissive to the wavelengths of about 150 nm to about 300 run, to define a sealed interior region, wherein the sealed interior region is in fluid communication with a first fluid source; a process chamber module coupled to the radiation source module to define a sealed chamber in operative communication with the ultraviolet radiation source, the process chamber comprising a closable opening adapted to receive a substrate, a support adapted to support the substrate, and a gas inlet in fluid communication with a second fluid source; and a loadlock chamber module in operative communication with the process chamber and a wafer handler; the loadlock chamber comprising an airlock chamber in fluid communication with a third fluid source and a chuck.
  • a radiation source module comprising a reflector, an ultraviolet radiation source, and a plate transmissive to the wavelengths of about 150 nm to about 300 run, to define a sealed interior region, wherein the sealed interior region is in fluid communication with a first fluid source;
  • an apparatus for processing dielectric materials comprises a radiation source module comprising a reflector, an ultraviolet radiation source adapted to emit broadband radiation, a plate transmissive to the wavelengths of about 150 nm to about 300 nm, to define a sealed interior region, wherein the sealed interior region is in fluid communication with a first fluid source; an optical filter disposed between the radiation source and the substrate; and a process chamber module coupled to the radiation source module to define a sealed chamber in operative communication with the ultraviolet radiation source, the process chamber comprising a closable opening adapted to receive a substrate, a support adapted to support the substrate, and a gas inlet in fluid communication with a second fluid source.
  • a process for treating a dielectric material comprises transferring a substrate from a loadlock chamber into a process chamber, wherein the process chamber is coupled to a radiation source module comprising a reflector, an ultraviolet radiation source, and a plate to define a sealed interior region, wherein the plate is transmissive to wavelengths of about 150 nm to about 300 nm; flowing an inert gas into the process chamber and the sealed interior region; and generating ultraviolet broadband radiation at wavelengths of about 150 nm to about 300 nm and exposing the substrate to the ultraviolet broadband radiation.
  • Figure 1 illustrates a cross sectional view of an apparatus for processing dielectric materials during the fabrication of an integrated circuit including a radiation source module, a process chamber module, and a loadlock chamber module;
  • Figure 2 illustrates a cross sectional view of the radiation source module of Figure 1;
  • FIG. 3 graphically illustrate the broadband spectral output of a Type
  • I electrodeless microwave driven bulbs from Axcelis Technologies, Inc., which can be suitably used as an ultraviolet radiation source;
  • FIG. 4 graphically illustrate the broadband spectral output of a Type
  • Figure 5 illustrates a top plan view of a screen intermediate the process chamber module and the radiation source module of Figure 1;
  • Figure 6 illustrates a exploded perspective view of the process chamber module of Figure 1;
  • Figure 7 illustrates a partially exploded perspective view of a proximity thermal chuck assembly for use in the process chamber
  • Figure 8 illustrates a top plan view of a support employed in the proximity thermal chuck assembly of Figure 7;
  • Figures 9 and 10 illustrate cross sectional views of the support in Figure 8;
  • Figure 11 illustrates a cross sectional view of the loadlock chamber module of Figure 1;
  • Figure 12 graphically illustrates transmittance of ultraviolet broadband radiation as a function of substrates processed and periodically cleaning the process chamber
  • Figure 13 graphically illustrates transmittance of ultraviolet broadband radiation as a function of time during the in situ clean process and also graphically illustrates concentration of oxygen as a function of time subsequent to the in situ cleaning process and during a process chamber purge.
  • an apparatus 10 for curing and/or removing porogens from dielectric materials with ultraviolet radiation in a controlled environment generally includes a radiation source module 12, a process chamber module 14 in operative communication with the radiation source module 12, a load lock chamber module 16 proximate to the process chamber module 14 for transferring substrates in and out of the process chamber module 14, and a wafer load station module (not shown) proximate to the load lock chamber module 16 for staging substrates for processing with the apparatus 10.
  • the environment within each module can be controlled and tailored for the particular dielectric material being processed therein.
  • the radiation source module 12 generally comprises a sealed interior region 20 defined by an ultraviolet radiation source 22, a plate 24, and a reflector 26.
  • a portion 27 of the ultraviolet radiation source 22 protrudes from and/or interfaces with the sealed interior region 20 and is substantially transmissive to ultraviolet radiation and substantially opaque to microwaves, thereby acting as a high pass filter.
  • the terminal end 28 of portion 27 protruding from and/or interfacing with the sealed interior region 20 can be formed of an tungsten mesh material with sufficiently small openings to cut-off most microwave radiation while substantially transmitting the ultraviolet radiation.
  • the reflector 26 includes a reflecting layer formed of an aluminum metal, a dichroic material, or a multilayer coating.
  • the reflecting layer may further comprise a protective layer of magnesium fluoride, silicon dioxide, aluminum oxide, and combinations comprising at least one of the foregoing materials.
  • suitable materials will be apparent to one of ordinary skill in the art in view of this disclosure. It has been discovered that these materials provide greater and more efficient reflectance of ultraviolet radiation having shorter wavelengths, e.g., wavelengths less than 200 nm.
  • the radiation source module 12 further includes a fluid inlet 33 in fluid communication with the sealed interior region 20 and a fluid source 35.
  • the fluid source 35 is configured to purge the atmosphere contained within the sealed interior region 20 during operation.
  • the fluid source 35 can be used to cool the ultraviolet radiation source, e.g. the electrodeless bulb.
  • Suitable fluids include, but are not intended to be limited to, inert gases for purging ambient air, for example, from the sealed interior region 20.
  • Suitable inert gases include, but are not limited to, nitrogen, argon, helium, combinations comprising at least one of the foregoing gases, and the like.
  • the sealed interior region 20 can also be evacuated by means of a vacuum pump, exhaust, or the like (not shown) to allow optimum transmission of UV light. That is, oxygen or other species that absorb ultraviolet radiation at wavelengths less than 200 nm can be removed.
  • a vacuum pump, exhaust, or the like not shown
  • oxygen or other species that absorb ultraviolet radiation at wavelengths less than 200 nm can be removed.
  • sealed refers to a region within the radiation source module that can be suitably purged during operation.
  • the sealed interior region does not need to be vacuum-sealed and purging can simply provide a positive atmosphere within the interior region (or process chamber).
  • the sealed interior region can be vacuum-sealed depending on the application.
  • the radiation source chamber 12 can also include fluid inlet 34 in fluid communication with a fluid source 36.
  • fluid such as water or some other cooling medium can be used to provide cooling to the reflector 26 or like components that may become heated during operation.
  • the reflector 26 may further include a water-cooling jacket wherein the fluid flows therethrough to provide the desired amount of cooling.
  • the fluid selected for cooling can be the same or different from the fluid used for purging the sealed interior region 20.
  • fluid sources 35 or 36 are not intended to be limited to a single fluid and can provide multiple fluids as may be desired for different applications, wherein each fluid can be stored in a pressurized vessel or the like in fluid communication with inlet 33, 34, via a manifold or the like.
  • Purging the sealed interior region 20 of the radiation source module 12 provides numerous advantages during processing of low k dielectric materials, among others.
  • air includes about 21% oxygen, which is known to absorb radiation at wavelengths less than about 200 nm and reacts to form, among other products, ozone.
  • oxygen is known to absorb radiation at wavelengths less than about 200 nm and reacts to form, among other products, ozone.
  • the production of ozone in turn, exacerbates wavelength attenuation since ozone starts absorbing as high as 250 nm and continues to lower wavelengths. As a result, the process efficiency for ultraviolet curing and/or removal of porogens from the low k material can decrease.
  • Purging the sealed interior region 20 of the radiation source module 12 (and the process chamber 14) or evacuating it prior to exposing a substrate to an ultraviolet radiation pattern reduces wavelength absorption and as a result, increases process efficiency.
  • Other purging fluids can be used to absorb selected wavelengths of the ultraviolet radiation pattern specific to the particular radiation source employed. Suitable absorbing gases include, but are not intended to be limited to, O 2 , O 3 , N 2 O, CO 2 , H 2 O and the like.
  • the radiation source module 12 is preferably adapted to emit a broadband radiation pattern having at least one broadband wavelength pattern less than about 400 nm, with about 150 nm to about 300 nm more preferred, and with about 150 nm to about 250 nm even more preferred.
  • the radiation source module 12 as shown illustrates the use of a electrodeless bulb 30, which is coupled to an energy source, e.g., a microwave cavity, to emit the broadband radiation pattern in a manner well known by those skilled in the art to generate the desired broadband ultraviolet radiation pattern.
  • an energy source e.g., a microwave cavity
  • a magnetron and a waveguide are coupled to the microwave cavity 32 to excite a gas fill within the electrodeless bulb and produce ultraviolet radiation..
  • the amount of the fill is such that it can be present at a pressure of at least about 1 atmosphere and preferably 2 to 20 atmospheres at operating temperature when the fill is excited at a relatively high power density.
  • the power density of microwave energy would be at least 50 watts/cc, and preferably greater than 100 watts/cc.
  • the electrodeless bulb 28 can also be made to emit a desired broadband radiation pattern with radiofrequency power.
  • the UV generating electrodeless bulbs with different spectral distributions may be selected depending on the application such as, for example, the use of different microwave electrodeless bulbs, e.g., Type I and Type II microwave electrodeless bulbs available from Axcelis Technologies (Beverly, MA). Spectra obtained from the Type I and Type II bulbs and suitable for use in a curing and/or porogen removal process are shown in Figures 3 and 4, respectively. Other suitable microwave driven electrodeless bulbs are disclosed in U.S. Patent No. 5,541,475 to Wood et al., incorporated herein by reference in its entirety.
  • an arc discharge, a dielectric barrier discharge, or an electron impact generator can be used to emit the desired ultraviolet radiation pattern.
  • the dielectric barrier discharge light source generally includes two parallel electrodes with a dielectric-insulating layer disposed on or between one of the electrodes and generally operates at about atmospheric pressures.
  • the substrate to be treated is often used as one of the planar electrodes or typically is placed between two planar electrodes.
  • This dielectric barrier discharge light source is preferably capable of being backfilled with any number of gas mixtures for producing a desired radiation pattern.
  • a computer control can be employed to alter the gas mixture during operation to allow changing of the emitted wavelengths in the radiation pattern.
  • the substrate is heated from beneath by high intensity lamps while being illuminated from above by the light source. This would provide a programmable substrate temperature.
  • pins could be employed to support the substrate over a heating window, below which the heating lamps would be located.
  • one or more of the pins would optionally contain a temperature sensor, e.g., a spring mounted or an embedded thermocouple, to monitor the substrate temperature and feed back this temperature information to a lamp controller to control the temperature, if desired.
  • a proximity thermal chuck controls the substrate temperature with an embedded closed loop thermocouple control.
  • the plate 24 within the radiation source module 12 advantageously serves to isolate the ultraviolet radiation source 22 from the underlying process chamber 14 (as previously shown in Figure 1).
  • the plate 24 eliminates particulate contamination from the substrate to the ultraviolet radiation source 22, isolates the ultraviolet radiation source 22 from the process chamber 14 to permit separate access, and, additionally, permits the use of gases to cool the ultraviolet radiation source 22 and/or microwave cavity, if present.
  • the plate 24 also allows specially chosen process gases to be used in the process chamber 14 without interfering with the operation of the ultraviolet radiation source 22.
  • the plate 24 is fabricated from a quartz material having an optical transmittance substantially transparent to the desired radiation pattern for curing and/or removing porogens from the low k dielectric material.
  • a quartz material having an optical transmittance substantially transparent to the desired radiation pattern for curing and/or removing porogens from the low k dielectric material.
  • An example of such a quartz material is commercially available under the trade name Dynasil 1000 from the Dynasil Corporation in West Berlin, NJ. It may be possible to use materials other than quartz, so long as the materials possess the above characteristics. For example, it may be desirable to expose the substrate to ultraviolet radiation having wavelengths below 220 nm specific for porogen removal.
  • the plate 24 is mounted by conventional mounting means in the radiation source module 12, which may optionally include suitable spacers.
  • the plate 24 may be comprised of one or more stackedly arranged plates.
  • the plate may be coated with an anti-reflectant to minimize back reflections of UV radiation into the radiation source module 12.
  • the plate 24 may be coated with magnesium fluor
  • an optical filter 40 e.g., a screen or the like, is disposed on the plate 24.
  • the screen 40 is preferably configured with a plurality of openings, which provide improved uniformity of irradiation to substrate.
  • the openings can vary in size depending on the intensity characteristics of the ultraviolet radiation source so as to promote uniform radiation to an underlying substrate.
  • the screen 40 can be fabricated from a metal and have a mesh form.
  • the screen 40 can include a single zone or multiple zones to provide greater radiation exposure uniformity.
  • Figure 5 illustrates an exemplary screen 40 having three zones 42, 44, and 46. Zone 42 has a finer mesh pattern than zone 44, which has a finer mesh pattern than zone 46.
  • An annular ring 48 retains the mesh screen defined by zones 42, 44, and 46.
  • the screen 40 is disposed intermediate the process chamber module 14 and the radiation source module 12. In still another embodiment, the screen 40 is embedded within the plate 24.
  • the process chamber 14 generally includes a base unit 52 comprising a bottom wall 54 and sidewalls 56 extending therefrom to form a cavity 58.
  • a chuck assembly 60 e.g., a gravity chuck assembly, or the like, is disposed in the cavity 58.
  • the gravity chuck assembly employs gravity as a means for securing the substrate to a support surface such that the substrate is not forced in contact with the support surface by any means other than the weight of the substrate.
  • the chuck may further include a vacuum hold mechanism as may be desired for some applications and chuck assemblies.
  • structure 62 Coupled to the base unit 52 is structure 62 defined by sidewalls 64 extending therefrom, which is further coupled to the radiation source module 12 to form a sealed chamber 68 in which the substrate can be processed.
  • the process chamber 14 is preferably fabricated from materials inert to the operating environment including, but not limited to, processing gases and reaction byproducts.
  • the sidewalls 64 of the structure 62 include at least one opening 66. Opening 66 is dimensioned for transferring substrates into and out of the process chamber 14 from an adjacent loadlock chamber 16 (also shown in Figure 1).
  • openings may also be disposed in the sidewalls 64 for purposes generally known in the art such as, for example, inlet and exhaust/pump manifolds, an optical port for monitoring the process, a mass spectrometer inlet for analyzing gaseous species evolved during processing, e.g., porogens, an oxygen analyzer for monitoring the concentration of oxygen, or the like.
  • an inlet and exhaust manifold may be employed to provide a cross flow of gases proximal to the transmissive plate during purging and/or processing.
  • the process chamber may include an outlet connected to an exhaust or vacuum pump such that the flow of fluids provides a gas curtain proximal to the transmissive plate so as to minimize deposition of porogen or any outgassed material from the substrate during processing, or to clean a coated plate by using UV activation of a reactive gas within the process chamber 14.
  • the fluid flow into the process chamber for forming curtain comprises an inlet slot and an opposing outlet slot in proximity to the transmissive plate to effect cross flow of the fluid across the plate, thereby providing the curtain.
  • the process chamber 14 further includes at least one gas inlet 69 in fluid communication with a gas source and the sealed interior region 68.
  • Fluid flow into the sealed chamber 68 can be axial, cross flow, or the like depending on the desired application.
  • the process chamber 14 can be adapted for downstream flow of gases during a purging and/or cleaning process.
  • the purging system depending on the gases plumbed into the system, can provide preparation of the chamber for an inert condition; and/or chamber cleaning.
  • an in-situ chamber clean function may be desirable since some dielectric materials emit organic volatiles during the curing and/or porogen removal process resulting in deposition of these organic volatiles on the chamber walls and the irradiator plate 24.
  • the in-situ clean function comprises an operating mode at which a substrate-less chamber 14 is purged with oxygen (and/or a reactive gas) and exposed to ultraviolet radiation to generate sufficient amounts of ozone and other excited oxygen species that can react with and remove the organic deposits.
  • periodically cleaning the process chamber includes detecting a change in transmission of the ultraviolet broadband radiation into the process chamber, wherein when the change exceeds a predetermined threshold value, the cleaning process is triggered. Discontinuing the cleaning process occurs when a rate of change of transmission falls below a predetermined rate of change or is at about a 100% transmission for a predefined wavelength band.
  • the purge system is preferably designed for multiple gas options such as He, N 2 , Ar, and the like as well as absorbing gases as previously described.
  • the apparatus can be equipped with one or more mass flow controlled gas channels that enable the controlled introduction of reactive gas species, such as O 2 , CO, CO 2 , C x H y , C x F y , N x Hy, and the like, wherein x and y are each independently generally greater than 1 to about 10.
  • the process chamber 14 may further contain an oxygen sensor for detecting the amount of oxygen contained within the chamber.
  • a feedback loop can be provided to prevent operation of the apparatus until the oxygen level is below a predetermined amount.
  • the presence of oxygen can cause unwanted oxidation of the metal interconnects as well as affect treatment of the dielectric materials at high temperatures.
  • the chuck assembly 60 generally includes a support 70, an annular isolation ring 72, and a lift pin assembly mechanism 74.
  • the annular isolation ring 72 is sealingly disposed between a lower surface of the support 70 and the base unit 52 of the process chamber 14.
  • the planar surface of the support 70 includes multiple perimeter pins 76, two of which are shown in Figure 7. hi one embodiment, the support 70 is stationary, i.e., non-rotating. In another embodiment, the support 70 is fabricated from aluminum or an aluminum alloy.
  • the lift pin assembly mechanism 74 is disposed below the support 70 and includes an air cylinder or the like for actuating the lift pins 76 through lift pin sleeves 96 (see Figure 8) during processing.
  • the airlines necessary to operate the lift pin mechanism 74 as well as any other plumbing required for the apparatus 10 are preferably disposed in a selected one of the sidewalls in the base unit 52. In other embodiments, the chuck moves vertically to contact the substrate while supported by the pins.
  • the support 70 includes a planar surface upon which a substrate is placed during processing.
  • the support 70 includes gas transfer holes 78 and passages 80 extending therethrough such that a heat transfer gas, e.g., helium or the like, can be passed through the holes 78 and/or passages 80 to increase the heat transfer rate between the substrate and surface of the support 70.
  • the holes 78 and/or passages 80 may also be employed for providing a vacuum to the backside of the substrate 38 for increasing the number of contact points between the bottom surface of the substrate and the surface of the support 70 such as by elastic deformation of the substrate.
  • the holes 78 and/or passages 80 are preferably connected to a vacuum line 82, which, in turn, is connected downstream of a process chamber isolation valve, a flow control valve, or the like (not shown).
  • the decrease in time-to-process temperature reduces the overall process time.
  • Passages 84 may also be machined or cast in the support 70 such that a fluid from a cooling system may be circulated to further control the temperature of the substrate. In this manner, the fluid is circulated through cooling conduits 86, which are in fluid communication with passages 84. Resistance heating elements 88 ( Figure 9) may also be cast into the support 70 enabling elevated processing temperatures that may be utilized for increased tool throughput.
  • the support 70 preferably has a shape corresponding to that of substrate and is preferably capable of an operating range of about 20° to about 45O 0 C. In a preferred embodiment, the operating temperature of the support 70 can be varied preferably via a feedback or a closed loop control system using a proportional integral derivative (PBD) controller having a heating and cooling capability.
  • PPD proportional integral derivative
  • the controller would alternately supply a current to heating elements 88 or cooling fluid (air or water) to passages 84 in support 70, as needed.
  • Feedback to the PID controller would be provided by measuring the temperature of substrate during the process using a temperature measuring device such as a spring activated thermocouple 90 mounted within the surface of support 70 as shown in Figure 10.
  • the thermocouple 90 comprises a spring 92 in operable communication with a contact portion 94 such that the contact portion 94 maintains contact with the backside surface of substrate during support thereof.
  • the temperature of support 70 can be controlled with an open loop process (i.e., without a feedback device) by adjusting the current supplied to heating elements 88 and allowing fluid flow (air or water) through passages 84 cast into support 70 at the appropriate point in the process.
  • the thermocouple can be embedded within the chuck support to measure the temperature of the substrate
  • support 70 includes an irradiance probe for measuring the intensity and spectral characteristics of the ultraviolet radiation.
  • the probe can function in the absence of an overlying substrate to provide a means for characterizing the ultraviolet radiation pattern prior to exposing substrates, which as noted above is dependent on a variety of parameters, e.g., gas fill, bulb cooling, gases within the process chamber, the transmission of the plate, and the like.
  • the above described process chamber 14 provides a substantially sealed environment for processing the substrate. It has been demonstrated that the quality of the cure (and/or porogen removal) and the integrity of the low-k materials depend on a highly inert ambient.
  • the purged sealed structure helps to provide an inert environment having an oxygen concentration of less than 100 parts per million (ppm), and more preferably less than 50 parts per million and even more preferably less than 20 parts per million.
  • a minimum purge gas flow may be used (even in the standby mode) to maintain the process chamber at a substantially oxygen-free gas filling.
  • the loadlock chamber module 16 includes an airlock chamber 102 in operative communication with the processing chamber 14 and a wafer handler (not shown).
  • An opening 104 is disposed in a sidewall of the airlock chamber 102 in operative communication with opening 66 (see Figure 6) of the process chamber.
  • the airlock chamber 102 includes an additional opening 106 for introducing and removing substrates from the airlock chamber 102.
  • Such a loadlock chamber module 16 can be adjusted to match the operating pressure in the processing chamber 14, thereby allowing transfer of substrates into or out of the process chamber 14 while also allowing the process chamber 14 to maintain a relatively constant pressure, e.g., atmospheric.
  • the loadlock chamber 16 includes at least one gas inlet (not shown) for introducing an inert gas into the airlock chamber 102.
  • an inert atmosphere By maintaining an inert atmosphere in the airlock, oxidation of the materials on the substrate, e.g., metal interconnects, low k dielectric, and the like, can be substantially prevented.
  • the substrate As the processed substrate is removed from the process chamber, the substrate is generally at an elevated temperature (after having been exposed to ultraviolet radiation in the process chamber typically at an elevated temperature between about 20° to about 45O 0 C, which can exacerbate oxidation of the low k material as well as the metal interconnects in the presence of oxidizing gases, e.g., CO, CO 2 , O 2 , ozone, and the like.
  • oxidizing gases e.g., CO, CO 2 , O 2 , ozone, and the like.
  • the loadlock chamber module 16 includes at least one robotic arm (not shown) for transferring the substrate to/from the process chamber and to/from the airlock chamber and to/from the wafer handler.
  • the robotic arm can be a single arm whose travel moves a wafer in a substantially linear manner.
  • the airlock chamber preferably includes a chuck for cooling the substrate after processing.
  • the apparatus 10 may be modified to include one or more plasma reactors in addition to the UV processing chambers disclosed herein above.
  • the plasma chambers can utilize RF or microwave frequencies for excitation of oxidizing, reducing or neutral plasma chemistries. Substrates processed in these chambers could be heated by either a hot chuck or by lamps.
  • a pre-heating station (not shown) may be added prior to UV exposure, to remove most of the volatiles that outgas from the substrate, before introducing it into the process chamber.
  • a substrate is loaded from the wafer handler module into the airlock chamber 102 of the loadlock chamber module 16 at atmospheric pressure.
  • the atmosphere is preferably purged with an inert gas to remove oxidizing gases, e.g., air, from the airlock chamber 102.
  • the substrate is then transferred into the process chamber 14, which is preferably purged in a similar manner and may further include absorbing gases, or reactive gases as may be desired for the intended application.
  • the radiation source module 12 is also purged to remove any air from the sealed interior region 20 and may further include absorbing gases, if desired.
  • the substrate is then exposed to a broad ultraviolet radiation pattern emitted from the radiation source 22 at an elevated temperature, if desired.
  • the process chamber 14 is configured for automatic substrate handling such that manual handling of the substrate, e.g., wafer, is eliminated.
  • the process includes purging the interior region 20 of the radiation source module 12, the process chamber 14, and optionally, the loadlock chamber 16 with one or more inert gases to remove the air prior to exposing the substrate 40 to the ultraviolet radiation pattern and/or remove the air prior to transferring the substrate from the process chamber to the loadlock chamber.
  • the substrate temperature may be controlled ranging from about room temperature to about 450 0 C, optionally by an infrared light source, an optical light source, a hot surface, or the light source itself.
  • the process pressure can be less than, greater than, or equal to atmospheric pressure, hi one embodiment, the process pressure is at atmospheric.
  • the UV cured dielectric material is UV treated for no more than or about 300 seconds and, more particularly, between about 60 and about 180 seconds.
  • UV treating can be performed at a temperature between about room temperature and about 450 0 C; at a process pressure that is less than, greater than, or about equal to atmospheric pressure; at a UV power between about 0.1 and about 2,000 mW/cm 2 ; and a UV wavelength spectrum between about 100 and about 400nm.
  • the UV cured dielectric material can be UV treated with a process gas purge, such as N 2 , O z , Ar, He, H 2 , H 2 O vapor, CO 2 , C x H y , C x F y , C x H z F y , air, and combinations thereof, wherein x is an integer between 1 and 6, y is an integer between 4 and 14, and z is an integer between 1 and 3.
  • a process gas purge such as N 2 , O z , Ar, He, H 2 , H 2 O vapor, CO 2 , C x H y , C x F y , C x H z F y , air, and combinations thereof, wherein x is an integer between 1 and 6, y is an integer between 4 and 14, and z is an integer between 1 and 3.
  • Suitable low k dielectric materials that can be processed with the above noted apparatus include, but are not intended to be limited to, commonly used spin-on low k dielectric materials and CVD deposited low k dielectric materials. These low k materials can be organic materials, inorganic materials, or combinations thereof.
  • the dielectric material can be a low k dielectric material, a premetal dielectric material, an oxide, a nitride, an oxynitride, a barrier layer, an etch stop material, a capping layer, a high k material, a shallow trench isolation dielectric material or combinations comprising at least one of the foregoing dielectric materials.
  • suitable low k dielectric materials can include hydrogen silsesquioxane (HSQ), alkyl silsesquioxane dielectric materials such as MSQ, carbon doped oxide (CDO) dielectric materials, fluorosilicate glasses, diamond-like carbon, parylene, hydrogenated silicon oxy-carbide (SiCOH) dielectric materials, B-staged polymers such as benzocyclobutene (BCB) dielectric materials, arylcyclobutene- based dielectric materials, polyphenylene-based dielectric materials, polyarylene ethers, polyimides, fluorinated polyimides, porous silicas, silica zeolites, porous derivatives of the above noted dielectric materials, and combinations thereof.
  • HSQ hydrogen silsesquioxane
  • alkyl silsesquioxane dielectric materials such as MSQ
  • CDO carbon doped oxide dielectric materials
  • fluorosilicate glasses diamond-like carbon, parylene
  • SiCOH hydrogenated silicon
  • porous derivatives i.e., mesoporous or nanoporous
  • the porous derivatives can have porogen-generated pores, solvent-formed pores, or molecular engineered pores, which may be interconnected or closed, and which may be distributed, random, or ordered, such as vertically oriented pores.
  • suitable dielectrics include, but are not intended to be limited to, silicates, hydrogen silsesquioxanes, organosilsesquioxanes, organosiloxanes, organhydridosiloxanes, silsesquioxane-silicate copolymers, silazane-based materials, polycarbosilanes, and acetoxysilanes.
  • Suitable substrates include, but are not intended to be limited to, silicon, silicon-on-insulator, silicon germanium, silicon dioxide, glass, silicon nitride, ceramics, aluminum, copper, gallium arsenide, plastics, such as polycarbonate, circuit boards, such as FR-4 and polyimide, hybrid circuit substrates, such as aluminum nitride-alumina, and the like.
  • Such substrates may further include thin films deposited thereon, such films including, but not intended to be limited to, metal nitrides, metal carbides, metal suicides, metal oxides, and mixtures thereof.
  • an underlying layer of insulated, planarized circuit lines can also function as a substrate.
  • FIG. 12 graphically illustrates the effectiveness of the periodic in situ clean function.
  • the in situ clean process included flowing an oxidizing fluid into the process chamber and exposing the oxidizing fluid to the ultraviolet broadband radiation.
  • the irradiance probe measured intensity of the ultraviolet broadband radiation into the process chamber.
  • transmission of the ultraviolet broadband radiation decreases as a function of processed substrates.
  • Periodically cleaning the process chamber cleans the plate so as to substantially restore transmission of the ultraviolet broadband radiation.
  • the walls and other surfaces of the process chamber can also be presumed to have been cleaned in addition to the transmissive plate.
  • Figure 13 graphically illustrates reconditioning of the process chamber after the in situ clean process has been completed.
  • transmittance of the ultraviolet broadband radiation is measured during the in situ clean process described immediately above.
  • the plate was substantially cleaned as indicated by the transmittance of the ultraviolet broadband radiation into the process chamber.
  • the process chamber was the purged with an inert gas.
  • the oxygen probe measured the concentration of oxygen remaining in the process chamber as function of time.

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Cleaning In General (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

L’invention concerne des dispositifs et procédés de traitement de matériaux diélectriques comme les matériaux diélectriques à faible k, les matériaux diélectriques prémétalliques, les couches écrans, et assimilés, comprenant généralement un module de source de radiations, un module de chambre de traitement couplé au module de source de radiations ; et un module de sas de chambre en communication fonctionnelle avec la chambre de traitement et un support de galettes. L’atmosphère de chacun des modules peut être contrôlée comme on le désire pour différents types de matériaux diélectriques. Le module de source de radiations comprend un réflecteur, une source de radiation ultraviolette, et une plaque transmettrice vers les longueurs d’ondes comprises entre 150 nm et 300 nm environ, pour définir une zone intérieure étanche, la zone intérieure étanche étant en communication fluide avec une source de fluide.
EP05763782A 2005-06-22 2005-06-22 Dispositif et procede de traitment des materiaux dielectriques Withdrawn EP1900005A1 (fr)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2005/022110 WO2007001281A1 (fr) 2005-06-22 2005-06-22 Dispositif et procédé de traitment des matériaux diélectriques

Publications (1)

Publication Number Publication Date
EP1900005A1 true EP1900005A1 (fr) 2008-03-19

Family

ID=35044881

Family Applications (1)

Application Number Title Priority Date Filing Date
EP05763782A Withdrawn EP1900005A1 (fr) 2005-06-22 2005-06-22 Dispositif et procede de traitment des materiaux dielectriques

Country Status (5)

Country Link
EP (1) EP1900005A1 (fr)
JP (1) JP5051594B2 (fr)
KR (1) KR101233059B1 (fr)
CN (1) CN101208770B (fr)
WO (1) WO2007001281A1 (fr)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
JP5292822B2 (ja) * 2008-01-18 2013-09-18 株式会社リコー 冷却装置及び画像形成装置
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
KR102055014B1 (ko) * 2011-03-23 2020-01-22 노벨러스 시스템즈, 인코포레이티드 자외선 경화 툴의 동-위치 자외선 강도 측정
CN103149195A (zh) * 2013-03-06 2013-06-12 河海大学 一种介质阻挡放电的光谱检测方法及装置
JP6115445B2 (ja) * 2013-10-24 2017-04-19 信越半導体株式会社 エピタキシャル成長装置
JP2015195974A (ja) * 2014-04-01 2015-11-09 Hoya株式会社 電子内視鏡用光源装置
CN103928370A (zh) * 2014-04-08 2014-07-16 上海华力微电子有限公司 用于多孔低k介质的紫外线照射装置和照射方法
KR101625062B1 (ko) * 2014-05-21 2016-05-27 엘지전자 주식회사 무전극 조명장치
JP2016039257A (ja) * 2014-08-07 2016-03-22 ウシオ電機株式会社 紫外光照射装置及び紫外光照射処理装置
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
CN106783669B (zh) * 2015-11-25 2019-04-12 无锡华瑛微电子技术有限公司 半导体处理装置及方法
TWI756761B (zh) * 2020-04-06 2022-03-01 香港商正揚科技有限公司 紫外光固化裝置
WO2023140955A1 (fr) * 2022-01-20 2023-07-27 Applied Materials, Inc. Source uv à balayage à faible teneur en oxygène à purge localisée

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5760303U (fr) * 1980-09-27 1982-04-09
JP2911895B2 (ja) * 1987-09-22 1999-06-23 フュージョン システムズ コーポレーション 無電極光源装置用のドーム形状メッシュスクリーン
KR920005718Y1 (ko) * 1988-12-30 1992-08-20 한국전기통신공사 광화학 증착장치용 자외선 노광장치
JPH0461741A (ja) * 1990-06-26 1992-02-27 Mitsubishi Electric Corp マイクロ波放電光源装置
JPH0491428A (ja) * 1990-08-02 1992-03-24 Fujitsu Ltd 化学気相成長装置および該装置による半導体成長方法
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
JP3194441B2 (ja) * 1992-04-28 2001-07-30 キヤノン株式会社 レジストの硬化方法及びレジスト処理装置
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
JP3315843B2 (ja) * 1995-09-01 2002-08-19 大日本スクリーン製造株式会社 基板処理装置
JPH09320543A (ja) * 1996-05-27 1997-12-12 Matsushita Electron Corp マイクロ波無電極放電光源装置
KR19980036464A (ko) * 1996-11-18 1998-08-05 김광호 반도체 제조용 확산장치 중의 증기발생기의 점화기
JP3500050B2 (ja) * 1997-09-08 2004-02-23 東京エレクトロン株式会社 不純物除去装置、膜形成方法及び膜形成システム
FR2792774B1 (fr) * 1999-04-26 2003-08-01 Joint Industrial Processors For Electronics Procede et dispositif de traitement d'un materiau par rayonnement electromagnetique et sous atmosphere controlee
JP4048189B2 (ja) * 1999-09-14 2008-02-13 東京エレクトロン株式会社 基板処理装置
JP3468215B2 (ja) * 2000-08-08 2003-11-17 ウシオ電機株式会社 誘電体バリア放電ランプを使った処理装置
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
JP3778432B2 (ja) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
EP1420439B1 (fr) * 2002-11-14 2012-08-29 Air Products And Chemicals, Inc. Procédé non-thermique pour la fabrication des couches à faible constante diélectrique
JP4342974B2 (ja) * 2003-02-12 2009-10-14 東京エレクトロン株式会社 硬化処理装置及びその方法、並びに塗布膜形成装置
JP4164575B2 (ja) * 2003-10-02 2008-10-15 独立行政法人産業技術総合研究所 半導体装置の製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2007001281A1 *

Also Published As

Publication number Publication date
KR101233059B1 (ko) 2013-02-13
WO2007001281A1 (fr) 2007-01-04
CN101208770B (zh) 2010-10-27
CN101208770A (zh) 2008-06-25
KR20080018946A (ko) 2008-02-28
JP5051594B2 (ja) 2012-10-17
JP2008547217A (ja) 2008-12-25

Similar Documents

Publication Publication Date Title
US7709814B2 (en) Apparatus and process for treating dielectric materials
KR101233059B1 (ko) 유전 물질을 처리하는 장치 및 프로세스
US7265061B1 (en) Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
KR101896607B1 (ko) 나노큐어 자외선 챔버용 석영 샤워헤드
US11177131B2 (en) Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US9873946B2 (en) Multi-station sequential curing of dielectric films
US8629068B1 (en) Multi-station sequential curing of dielectric films
US10121682B2 (en) Purging of porogen from UV cure chamber
US8242028B1 (en) UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
EP2278608B1 (fr) Dispositif à plasma, ensemble de distribution de gaz pour dispositif à plasma et procédés correspondants
US20050263719A1 (en) Ultraviolet ray generator, ultraviolet ray irradiation processing apparatus, and semiconductor manufacturing system
EP1873818A2 (fr) Procédé de traitment de films diélectriques
WO2008030663A1 (fr) Système de traitement thermique pour durcir des films diélectriques
KR20030083708A (ko) 반도체 처리용 자외선 어시스트 처리 장치
US20160138160A1 (en) Reactive ultraviolet thermal processing of low dielectric constant materials
TWI581331B (zh) 降低多孔低k膜的介電常數之方法
KR101631586B1 (ko) 기판 성능에 대한 높은 처리량 및 안정한 기판을 위한 급속 주기적 및 포괄적 후 다중 기판 uv-오존 세정 시퀀스들의 중첩
JP2009289996A (ja) 半導体装置の製造方法および半導体装置
TWI424460B (zh) 用於處理介電材料之設備及製程
US20130160793A1 (en) Plasma generating apparatus and process for simultaneous exposure of a workpiece to electromagnetic radiation and plasma

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20080107

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE FR IT

RBV Designated contracting states (corrected)

Designated state(s): DE FR IT

RIN1 Information on inventor provided before grant (corrected)

Inventor name: SAKTHIVEL, PALANIKUMARAN

Inventor name: ESCORCIA, ORLANDO

Inventor name: BERRY, IVAN

Inventor name: WALDFRIED, CARLO

Inventor name: GARMER, CHRISTOPHER

17Q First examination report despatched

Effective date: 20080827

DAX Request for extension of the european patent (deleted)
R17C First examination report despatched (corrected)

Effective date: 20080904

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20100105