TWI424460B - 用於處理介電材料之設備及製程 - Google Patents

用於處理介電材料之設備及製程 Download PDF

Info

Publication number
TWI424460B
TWI424460B TW94120423A TW94120423A TWI424460B TW I424460 B TWI424460 B TW I424460B TW 94120423 A TW94120423 A TW 94120423A TW 94120423 A TW94120423 A TW 94120423A TW I424460 B TWI424460 B TW I424460B
Authority
TW
Taiwan
Prior art keywords
substrate
processing chamber
ultraviolet
radiation source
radiation
Prior art date
Application number
TW94120423A
Other languages
English (en)
Other versions
TW200625388A (en
Inventor
Carlo Waldfried
Ivan Berry
Christopher Garmer
Orlando Escorcia
Palanikumaran Sakthivel
Alan C Janos
Original Assignee
Axcelis Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Tech Inc filed Critical Axcelis Tech Inc
Publication of TW200625388A publication Critical patent/TW200625388A/zh
Application granted granted Critical
Publication of TWI424460B publication Critical patent/TWI424460B/zh

Links

Landscapes

  • Physical Or Chemical Processes And Apparatus (AREA)
  • Formation Of Insulating Films (AREA)

Description

用於處理介電材料之設備及製程
本揭示概括係關於一種用於硬化介電材料及/或從介電材料去除孔洞生成物(porogen)之設備及製程,尤其關於一種設備,可以在一控制環境下藉由紫外線輻射來硬化介電材料及/或從低k介電材料去除孔洞生成物。
隨著半導體與其他微電子裝置在尺寸上的日益減少,因此對於放置在裝置零件上的要求就會持續增加。例如,防止互連線路之間的電容串擾,對於小型裝置來說就變得更加重要。電容串擾一般來說是導體之間的距離以及放置在導體之間的材料介電常數(k)之函數。因此,已經著重於使用具有低介電常數的新型絕緣體,使導體彼此之間形成電絕緣。雖然由於在這類裝置中習用的二氧化矽(SiO2 )具有大約4的較低介電常數,能夠符合較早期(就是較大)的應用情形之需求,但是二氧化矽對於將來較小的裝置來說是不適用的。例如,這些低k(就是小於4的介電常數)材料最好是作為層間介電層(ILD)。
為了達成低介電常數,可以使用具有低介電常數的材料,及/或將多孔性引入到材料中,如此一來可以有效降低介電常數,這是因為空氣的介電常數名義上為1而已。可透過多種方式將多孔性引入低k材料。在旋轉塗覆之低k介電質的情形中,可以使用高沸點溶劑、藉由使用模板、或藉由運用基於孔洞生成物的方法(其在後續的處理中形成孔洞),而達成k值的降低。然而,一般來說,在製造半導體裝置時,已經證實要整合多孔的低k材料是很困難的。
利用UV輻射來硬化低k介電膜,近年來已經發現相較於其他硬化法,能提升所產生的介電材料之電、機械與化學性質。此外,UV輔助的製程能夠有效去除孔洞生成材料,該材料係用以產生多孔結構的犧牲性有機物質。在不同低k材料上的測試結果已經顯示出,暴露於不同波長分佈的UV光,且結合適當的背景化學作用與够高的晶圓溫度,能導致低k膜不同的改變。特別是,已經發現到有一些波長分佈(A)能有效去除孔洞生成物,且能增進低k基質之交聯,而同時其他波長分佈(B)能有助於低k基質的交聯但卻不會去除孔洞生成物。因此,可以產生出許多不同的低k硬化流程,這些流程均有助於多孔的低k介電質的合成與整合。
目前現存的紫外線輻射設備並未有能解決硬化介電材料及/或從不同的介電材料去除孔洞生成物的問題和關注。於是,在此項技術領域中,的確需要提出一種設備,能夠適用於處理介電材料,例如低k材料、氧化物、氮化物、預加金屬的(premetal)介電質、阻障層等類似物,以便用於先進的裝置製造。
以下,揭示一種設備與製程,用於處理介電材料,例如低k材料、預加金屬的介電質等類似物,以便用於先進的半導體裝置。在一實施例中,此設備包含:一輻射源模組,包含一反射器、一紫外線輻射源及一板,此板可允許大約150nm到大約300nm的波長穿透過去,如此界定出一密封的內部區域,其中此密封的內部區域與第一流體源呈流體相通;一處理室模組,係耦合至該輻射源模組,以便界定出一密封室,此密封室與該紫外線輻射源呈操作性相通,該處理室包含一適用於容納一基底的可關閉開口、一適用於支撐該基底的支架,及一與第二流體源呈流體相通的氣體入口;及一裝卸室模組,係與該處理室及一晶圓搬運機成操作相通;裝卸室包含一氣鎖室,係與第三流體源及一夾頭呈流體相通。
在另一實施例中,揭示一種用於處理介電材料的設備,此設備包含:一輻射源模組,包含一反射器、一適用於發射寬帶輻射的紫外線輻射源和一板,此板可允許大約150nm到大約300nm的波長穿透過去,如此界定出一密封的內部區域,其中此密封的內部區域與第一流體源呈流體相通;一光學濾波器,係放置在輻射源與基底之間;及一處理室模組,係耦合至該輻射源模組,以便界定出一密封室,此密封室與該紫外線輻射源成操作性相通,該處理室包含一適用於容納一基底的可關閉開口、一適用於支撐該基底的支架、及一與第二流體源呈流體相通的氣體入口。
一種用於處理介電材料的製程,包含以下步驟:將一基底從一裝卸室運送至一處理室內,其中此處理室係耦合到一輻射源模組,該模組包含一反射器、一紫外線輻射源及一板,以便界定出一密封的內部區域,其中此板可允許大約150nm到大約300nm的波長穿透過去;將一惰性氣體流入此處理室及密封的內部區域中;及產生具有波長大約150nm到大約300nm的紫外線寬帶輻射,且使基底暴露於此紫外線寬帶輻射。
上述及其他特點將藉由以下的圖形與詳細說明作為範例而加以說明。
現在參考圖形,其中類似的元件均標示以類似的數字。
如圖1所示,設備10係用於在一控制環境中藉由紫外線輻射來硬化介電材料及/或從介電材料去除孔洞生成物,此設備一般包含:一輻射源模組12;一處理室模組14,係與輻射源模組12呈操作性相通;一裝卸室模組16,係接近處理室模組14,用於將基底運送進出處理室模組14,及一晶圓負載站模組(未顯示),係接近裝卸室模組16,以便承載基底,以便用設備10進行處理。有利地,在每個模組內的環境均可以受到控制與設計,以便配合其中正在處理的特殊介電材料。
現在參考圖2,輻射源模組12一般包含一密封的內部區域20,係藉由一紫外線輻射源22、一板24及一反射器26所界定。紫外線輻射源22的一部位27係突出自及/或與此密封內部區域20形成介面,且大致上可允許紫外線輻射穿透過去,但微波卻無法穿透,如此一來可形成一高通濾波器。例如,突出自及/或與此密封內部區域20形成介面之部位27的一終端28可以由一具有夠小開口的鎢篩網材料所形成,以便切斷大部分的微波輻射,而同時大致上傳送紫外線輻射。
反射器26包括一反射層,係由鋁金屬、雙色性材料或一多層塗層而形成的。隨意地,反射層可以進一步包含一保護層,具有氟化鎂、二氧化矽、氧化鋁、及含有至少一上述材料的組合物。當參閱本發明的說明之後,對於熟知此項技術者來說,也可以輕易想到其他適合的材料。已經發現到這些材料可以使具有較短波長(例如波長小於200nm)的紫外線輻射產生較大且更有效的反射。
輻射源模組12進一步包括一流體入口33,係與密封內部區域20及一流體源35相通。流體源35建構成能夠在操作期間清洩密封內部區域20中之氣體。此外,流體源35可以用來冷卻紫外線輻射源,例如無電極燈泡。適合的流體包括(但不侷限於此)惰性氣體,可用於清洩來自密封內部區域20之周圍空氣。適合的惰性氣體包括(但不侷限於此)氮、氬、氦、包含至少一上述氣體的組合物和類似者。類似地,密封內部區域20也可以藉由一真空泵、排氣裝置或類似物(未顯示)來抽空,以便允許UV光有最佳的傳送。也就是說,可以去除氧氣或其他種類,這些均能吸收波長小於200nm的紫外線輻射。關於輻射源模組(以及處理室)中所使用的詞〝密封的〞,係指輻射源模組內的一區域,此區域在操作期間可以適當清洩。此密封的內部區域不需要以真空密封,且清洩可以在此內部區域(或處理室內)簡單地提供一正氣氛。雖然在一些實施例中,可以根據應用的情形,使密封內部區域變成真空密封。
輻射源室12也可以包括一與流體源36呈流體相通的流體入口34。以此方式,可以使用如水或其他冷卻媒介的流體,來提供冷卻至反射器26或類似零件,這些零件在操作期間會變熱。例如,反射器26可以進一步包括一水冷式外罩,其中流體可以流經而提供想要的冷卻量。選擇用於冷卻的流體可以與清洩密封內部區域20所用之流體相同或不同。就此而言,流體源35或36並未侷限於單一流體,且可以提供多種流體,以便用於不同的應用情形,其中每個流體可以儲存在一加壓容器或類似物內,此容器可經由一歧管而與入口33,34呈流體相通。
清洩輻射源模組12(以及處理室14)的密封內部區域20,可以在處理低k介電材料等的期間提供許多優點。例如,空氣內包含大約21%的氧氣,這一點已知可以吸收波長小於200nm的輻射,且會反應而形成臭氧等產物。接著,由於臭氧開始吸收波長高達250nm且持續降低波長,所以臭氧的產生會使波長衰減加重。因此,會降低紫外線硬化低k材料及/或從低k材料去除孔洞生成物的處理效率。輻射源模組12的密封內部區域20(及處理室14)之清洩,或在使基底暴露於紫外線輻射型態之前抽空此處,藉此減少波長吸收,而且因此可增加處理效率。也可以使用其他清洩流體,來吸收紫外線輻射型態的選定波長,這些選定的波長是所使用的特殊輻射源之獨有特性。適當的吸收氣體包括(但不侷限於)O2 、O3 、N2 O、CO2 、H2 O與類似物。
輻射源模組12最好能適用於發射一寬帶輻射型態,具有小於400nm的至少一寬帶波長型態,最好是大約150nm到大約300nm,且甚至最好是大約150nm到大約250nm。
如圖所示的輻射源模組12說明一無電極燈泡30的使用情形,此燈泡係耦合到一能源(例如微波腔32),以便藉由熟知此項技術者所熟知的方式,來發射寬帶輻射型態,藉此產生想要的寬帶紫外線輻射型態。使用微波能源作為範例,將一磁電管與一波導耦合到此微波腔32,以便激發無電極燈泡內的氣體填充物,且產生紫外線輻射。不同的填充物可與微波無電極燈泡30一起使用,以便產生不同的輻射型態。填充物的量能使它表現出至少大約1大氣壓的壓力,且當填充物以一相當高能量密度下被激發時,最好在操作溫度能具有2到20大氣壓力。例如,微波能量的能量密度至少是50瓦特/cc,且最好大於100瓦特/cc。無電極燈泡30可以被製成以射頻能量來發射想要的寬帶輻射型態。
可以根據應用情形來選擇具有不同光譜分佈的產生UV之無電極燈泡,例如使用不同的微波無電極燈泡,例如來自Axcelis科技公司(麻州Beverly市)的第一型與第二型微波無電極燈泡。第一型與第二型燈泡所獲得且適用於硬化及/或孔洞生成物去除處理之光譜,係分別顯示於圖3與4。其他適用的微波驅動無電極燈泡係揭示於Wood等人的美國專利第5541475號,在此併入作為參考。選擇性地,為取代無電極燈泡,可以使用電弧放電、介電阻障放電或電子衝擊產生機,以便發射想要的紫外線輻射型態。
例如,介電阻障放電光源一般包括兩平行電極,而介電絕緣層係放置在其中一電極上或兩電極之間,且一般是以大氣壓力來進行操作。欲被處理的基底係通常作為平面電極之一,或一般係放置在兩平面電極之間。此介電阻障放電光源最好能夠以任何數量的氣體混合物而加以回填,以便產生一想要的輻射型態。可以使用一電腦控制,以便在操作期間改變氣體混合物,而允許改變輻射型態中的發射波長。
在一實施例中,基底藉由高強度燈而從底下加熱,同時藉由光源從上方照射。如此可提供一可編程的基底溫度。在此實施例中,或可使用插銷,將基底支撐在一加熱窗上,在此下方可以放置加熱燈。在此實施例中,一或多個插銷可以選擇性地包含一溫度感測器,例如一彈簧安裝的或埋入的熱偶,如此可監視基底溫度;若想要的話,將此溫度資訊回饋到一燈控制器上,以便控制溫度。另一種方式,一鄰近熱夾頭係藉由一埋入的封閉迴路熱偶控制,來控制基底溫度。
在輻射源模組12內的板24有利地用來將紫外線輻射源22與底下的處理室14(如之前圖1所示)隔離開來。有利地,板24可以消除從基底到紫外線輻射源22的微粒污染,將紫外線輻射源22與處理室14隔離開來,以便允許分別進入,且額外地,能允許使用氣體來冷卻紫外線輻射源22及/或微波腔32(若有的話)。板24亦允許特別選定的處理氣體在處理室14內使用,而不會與紫外線輻射源22的操作發生干涉。
在一實施例中,板24是從一具有光學透射的石英材料製成的,能穿透用於硬化低k介電材料及/或從低k介電材料移除孔洞生成物的想要輻射型態。這種石英材料的一範例為紐澤西州西柏林市Dynasil公司之商品名稱為Dynasil 1000之石英材料。也可以使用石英以外的材料,只要這些材料具有上述特性即可。例如,可以將基底暴露於波長小於220nm的紫外線輻射下,以便特別去除孔洞生成物。板24係藉由習知的安裝機構而安裝於輻射源模組12內,可選擇性地包含適當的間隔物。此外,板24可以包括一或多片堆疊排列的板。在一些實施例中,板可以塗上抗反射劑,以便降低UV輻射的背向反射到輻射源模組12內。例如,板24可以塗上氟化鎂,或者可以在上面沉積矽、氟和類似物。
在一實施例中,將一光學濾波器40(例如螢幕或類似者)放置在板24上。在螢幕的情形中,此螢幕40之結構最好能夠具有多個開口,以便提供更加均勻的輻射到基底上。可以根據紫外線輻射源的強度特性,而改變這些開口的尺寸,以便提供均勻的輻射到一位於下方的基底。螢幕40可以由一金屬製成,且具有篩網形式。螢幕40可以包括單一區域或多數區域,以便提供更大的暴露均勻性。圖5顯示一範例螢幕40,其具有三個區域42、44與46。區域42比起區域44具有更微小的篩網圖案,而區域44比起區域46具有更微小的篩網圖案。已經發現不具有螢幕時,基底最中間的部位能使入射到此表面上的輻射展現出最大強度。藉由圖示的方式來改變螢幕40的孔密度,則可以獲得更大的輻射均勻性。一環狀環48能固持區域42、44與46所界定的篩網螢幕。
在另一實施例中,螢幕40係放置在處理室模組14與輻射源模組12之間。在另一實施例中,螢幕40係埋入此板24中。
如圖6所更清楚顯示,處理室14一般包括一底座單元52,其係包含一底壁54及從底壁延伸的側壁56,以便形成一凹穴58。一夾頭組件60(例如重力夾頭組件或類似物)係放置在凹穴58內。對於熟知此項技術者來說,重力夾頭組件使用重力作為將基底固定至一支架表面上的方式,使得基底並未受到本身重力以外的任何其他方式,而強迫與支架表面產生接觸。夾頭可以進一步包括一可用於其他應用以及夾頭組件情形中的真空固持機構。
耦合到底座單元52上的是一結構62,其係由從此結構延伸的側壁64來界定,而進一步耦合到輻射源模組12,以致形成一密封室68,在此密封室內可以處理基底。處理室14最好是由對操作環境不活潑的材料製成,操作環境包含(但不侷限於)處理氣體及反應副產品。結構62的側壁64包括至少一開口66,開口66之尺寸能夠使基底從一相鄰的裝卸室16(亦如圖1所示)運送進出處理室14。
也可以設置其他開口(未顯示)於側壁64內,此用途顯然對於熟知此項技術者來說可以輕易了解,例如入口與排氣/泵歧管、一監視處理用的光學埠、一質譜儀入口(用於分析在處理期間散發出來的氣體種類(例如孔洞生成物))、一氧氣分析器(用於監視氧氣的濃度)或類似物。例如,可以使用一入口與排氣歧管,以便在清洩及/或處理期間,提供穿透板附近的氣體之交叉流動。而且,處理室可包括一出口,係連接到一排氣裝置或真空泵,使得流體的流動能夠在穿透板附近產生一氣簾,以便在處理期間減少來自基底的孔洞生成物或任何脫氣(outgasesd)材料的沉積,或者可以藉由在處理室14內使用一反應性氣體的UV活性作用,來清潔一塗層板。在一實施例中,流入處理室內而形成氣簾的流體包含一入口狹縫及一正對的出口狹縫,其係位於穿透板附近,以便在橫跨整個板上產生流體的交叉流動,藉此產生氣簾。
處理室14進一步包含至少一氣體入口69,係與一氣體源及密封的內部區域68產生流體相通。流入此密封室68內的流體可以是一軸向、交叉流或類似流動,此係根據想要的應用情形而定。例如,在清洩及/或清潔處理期間,處理室14可適用於氣體的下游流動。就此而言,根據進入系統內的氣體而定,清洩系統可以準備用於一惰性條件及/或室清潔的室。例如,由於一些介電材料會在硬化及/或孔洞生成物去除處理期間,發出有機揮發物質,而導致這些有機揮發物質沉積在室壁與輻射板24上,因此最好能有現地(in-situ)室清潔功能。現地清潔功能包含一操作模式,在此模式中,一無基底的室14可藉由氧氣(及/或一反應性氣體)而加以清洩,且暴露於紫外線輻射,以便產生足夠量的臭氧與其他激發態的氧氣種類,這些能與有機沉積物產生反應並將它們去除。例如,週期性的清潔處理室包括偵測在紫外線寬帶輻射穿透到處理室內的變化,其中當此變化超過一預定的臨限值時,就能引發此清潔處理。當穿透的變化比例下降到一預定的變化比例時,或者對於一預定的波長帶來說變成大約100%的穿透時,便會中斷此清潔處理。
對於清洩操作來說,清洩系統最好能設計成用於多種氣體選擇,例如He、N2 、Ar和類似者,以及如同上述的吸收性氣體。對於一些介電硬化應用情形來說,添加少量的反應性氣體可以增強硬化結果。因此,設備可以裝配有一或更多質流控制的氣體通道,這些通道能夠控制引入反應性氣體種類,例如O2 、CO、CO2 、Cx Hy 、Cx Fy 、Nx Hy 和類似者氣體,其中x與y均個別獨立且為大於1到大約10之間。
處理室14可以進一步包含一氧氣感測器,用以偵測室內所包含的氧氣量。可以設置一反饋迴路,以便阻止設備的操作,直到氧氣的程度低於一預定量為止。在先進半導體製造技術中,已知氧氣的存在會導致金屬相互連接處產生有害的氧化,且在高溫時會影響介電材料的處理。
如圖7所示,夾頭組件60一般包括一支架70、一環狀絕緣環72及一上升插銷組件機構74。環狀絕緣環72係密封式地放置在支架70的一下表面與處理室14的底座單元52之間。支架70的平面表面包括數個周圍插銷76,其中兩根插銷係顯示於圖7。在一實施例中,支架70是靜止的,也就是未旋轉的。在另一實施例中,支架70是由鋁或鋁合金製造而成。
上升插銷組件機構74係放置在支架70下面,且包括一汽缸或類似物,以便在處理期間透過上升插銷套管96(參見圖8)而啟動上升插銷76。操作上升插銷機構74以及設備10的其他配管系統所需要之空氣線路最好能放置在底座單元52所選的一側壁中。在其他實施例中,夾頭垂直移動來接觸基底,且同時藉由銷支撐基底。
如圖8-10所清楚地顯示,支架70包括一平面表面,在處理期間放置有一基底。可選擇性地,支架70包括氣體轉移孔78與延伸通過此孔的通道80,使得一熱傳送氣體(例如氦或類似物)可以通過此孔78及/或通道80,以便增加基底與支架70表面之間的熱傳速率。孔78及/或通道80也可以用來提供真空到基底的背側,以便藉由如基底的彈性變形,而增加基底底面與支架70表面之間的接點數目。假如利用真空來維持的話,則由於真空導致在基底與支架70的表面之間接點數目增加,會使基底達到處理溫度的速率也增加。在此情形中,孔78及/或通道80最好能連接到一真空線路82上,接著連接到一處理室隔離閥、一流路控制閥或類似物(未顯示)的下游處。有利地,到達處理溫度的時間減少能使整個處理時間也跟著減少。
通道84(圖9)也可以在支架70中予以加工或鑄造,使得來自一冷卻系統的流體可以在其中循環,且進一步控制基底的溫度。以此方式,流體會經由冷卻導管86而循環,這些導管係與通道84呈流體相通。也可以將電阻加熱元件88(圖9)鑄造於支架70內,以便產生升高的處理溫度,如此可提升工具的生產能力。支架70的形狀最好對應於基底的形狀,且最好能夠在大約20°到大約450℃的操作範圍內操作。在一較佳實施例中,支架70的操作溫度較佳可以藉由一反饋或封閉迴路控制系統來改變,此乃使用一具有加熱與冷卻能力的比例積分微分(PID)控制器。此控制器可根據需要交替地供應電流到加熱元件88或將冷卻流體(空氣或水)供應到支架70內的通道84。可以提供反饋到此PID控制器,此反饋係藉由在處理期間使用一溫度側量裝置來測量基底的溫度,此溫度測量裝置例如是一安裝在支架70表面內的彈簧啟動的熱偶90,如圖10所示。熱偶90包含一彈簧92,係與一接觸部94產生操作性相通,使得接觸部94能夠在其支撐期間,維持與基底背側表面的接觸。另一方面,支架70的溫度可以改為藉由一開放式迴路處理(就是不需要一反饋裝置)而加以控制,此乃藉由調整供應到加熱元件88的電流,以及允許流體(空氣或水)在處理的適當時間點通過支架70內所鑄造的通道84。而且,可以將熱偶埋入夾頭支架內,以便測量基底的溫度。
可選擇性地,支架70包括一輻射探針,用於測量紫外線輻射的強度與光譜特性。此探針當缺少覆蓋的基底時可以產生作用,以便提供一機構,以在暴露基底之前明瞭紫外線輻射型態特徵,這一點如上所述係與許多參數有關,例如氣體填充物、燈泡冷卻、處理室內的氣體、板的穿透性…等。
有利地是,上述的處理室14能提供一大致密封的環境來處理基底。已經顯示出來硬化(及/或去除孔洞生成物)低k材料的品質以及完整性係與一高度惰性周圍環境有關。清洩過的密封結構能有助於提供一惰性環境,其氧氣濃度小於100ppm,最好是小於50ppm,甚至可以小於20ppm。可以使用最小的清洩氣體流(即使在預備模式下),使得處理室能維持在一大致沒有氧氣的氣體填充狀態。
接著,參考圖11,裝卸室模組16包括一氣鎖室102,係與處理室14及一晶圓搬運機(未顯示)呈操作性相通。一開口104係放置在氣鎖室102的一側壁中,係與處理室的開口66(參見圖6)呈操作性相通。氣鎖室102包括一額外的開口106,用於從氣鎖室102引進與移除基底。可以調整此一裝卸室模組16,以便配合在處理室14內的操作壓力,藉此允許基底進出處理室14,而同時也允許處理室14能維持在一相當固定的壓力下,例如大氣壓力。而且,裝卸室模組16包括至少一氣體入口(未顯示),用於將一惰性氣體引入到氣鎖室102內。藉由將一惰性氣體維持在氣鎖室內,便能夠大致上防止在基底上之材料(例如金屬交互連接點、低k介質等)的氧化。當被處理的基底從處理室移除時,此基底一般是處於一升高的溫度,在已經暴露於處理室內的紫外線輻射之後,一般來說其升高溫度會介於20°到450℃之間,如此便加重低k材料以及金屬交互連接點在氧化氣體存在時的氧化情形,例如CO、CO2 、O2 、臭氧等。藉由維持一惰性氣體直到基底受到充分的冷卻之後,則僅可能產生非常小的氧化(若有的話)。將一惰性氣體維持在裝卸室內能夠有助於將不想要的物種運送到處理室內之情形降至最低。
裝卸室模組16包括至少一機械手臂(未顯示),用於將基底來回運送至處理室、氣鎖室與晶圓搬運機之間。此機械手臂可以是單一手臂,其行進路徑以一大致直線的方式移動晶圓。氣鎖室最好能包括一夾頭,用於在處理之後冷卻基底。
在另一實施例中,由於可以額外地使用電漿來改變介電材料及/或去除孔洞生成物,可以修改設備10以便除了在上述的UV處理室之外,還包括一或更多電漿反應器。電漿室可以利用RF或微波頻率,以便激發具有氧化性、還原性或中性的電漿化學物質。在這些室內所處理的基底也可以藉由一熱夾頭或燈來予以加熱。
在另一實施例中,可以在UV暴露之前,在引進處理室內之前,增加一預熱站(未顯示),以便去除基底的大部分的揮發物質,這些揮發物質可以造成從基底冒出氣體。
在操作期間,將一基底從晶圓搬運機模組在大氣壓力下載入裝卸室模組16的氣鎖室102。此內部的氣氛最好能以一惰性氣體來清洩,以便從氣鎖室102去除氧化氣體,例如空氣。然後,將此基底運送到處理室14內,最好以類似方式加以清洩,且可以進一步包含吸收性氣體或反應性氣體,此可依所要用途而定。輻射源模組12也加以清洩,以從密封的內部區域270移除任何空氣,並且輻射源模組12可進一步包含吸收性氣體(若想要的話)。然後,將基底暴露於一寬紫外線輻射型態,此輻射型態係在一升高溫度下(若想要的話)從輻射源22發射出來的。
最好,處理室14之結構能適用於自動的基底操縱,如此一來可消除基底(例如晶圓)的手動操縱。
在一實施例中,製程包括以一或更多惰性氣體來清洩輻射源模組12的內部區域20、處理室14以及可選擇的還有裝卸室16,以便在基底40暴露於紫外線輻射型態之前能夠去除空氣,及/或在將基底從處理室移動到裝卸室之前能夠去除空氣。
可以控制基底溫度,使其位於大約室溫到大約450℃的溫度範圍內,其控制方式可以選擇性地藉由一紅外光源、一光學光源、一熱源或其本身的光源。處理壓力可以小於、大於或等於大氣壓力。在一實施例中,處理壓力是位於大氣壓力。一般來說,UV硬化介電材料係藉由UV處理,且不超過或大約300秒,且更特別地是在大約60與大約180秒之間。而且,UV處理可以在大約室溫與大約450℃的溫度範圍內實施;且其處理壓力小於、大於或等於大氣壓力;其UV功率係介於大約0.1到大約2000mW/cm2 之間;其UV波長光譜係介於大約100到大約400nm之間。而且,UV硬化介電材料係以一處理氣體清洩而施加UV處理,例如N2 、Oz 、Ar、He、H2 O蒸氣、COz 、Cx Hy 、Cx Fy 、Cx HZ Fy 、空氣與其組合物,其中x是1與6之間的整數,而y是4與14之間的整數,且z是1與3之間的整數。
可與上述設備一起處理的適當低k介電材料包括(但不侷限於)一般使用於旋塗的低k介電材料與CVD沉積的低k介電材料。這些低k介電材料可以是有機材料、無機材料或其組合物。例如,介電材料可以是低k介電材料、預加金屬的介電材料、氧化物、氮化物、氮氧化物、阻障層、蝕刻停止材料、覆蓋層、高k材料、淺溝槽隔離介電材料,或包含至少一上述介電材料的組合物。更特別地是,適當的低k介電材料可以包括氫化矽倍半氧烷(簡稱HSQ)、烷基矽倍半氧烷介電材料(例如MSQ)、摻入碳的氧化物(CDO)介電材料、含氟的矽酸鹽玻璃、鑽石狀的碳、聚對二甲苯、含氫的矽氧碳化物(SiCOH)介電材料、B階段聚合物(例如苯基環丁烯(BCB)介電材料)、芳香基環丁烯為主的介電材料、聚苯為主的介電材料、聚亞芳基醚、聚亞醯胺(、含氟的聚亞醯胺、多孔矽石、矽沸石、上述介電材料的多孔衍生物、及其組合物。多孔衍生物(就是中等孔洞或奈米孔洞)可以具有孔洞生成物所產生的孔洞、溶劑所形成的孔洞、或分子工程製成的孔洞,這些均可以互相通接或封閉的,且可以是經分佈、隨意或有順序(例如垂直定向)的孔洞。
其他適當的介電質包括(但不侷限於)矽酸鹽、含氫的矽倍半氧烷、有機矽倍半氧烷、有機矽氧烷、有機氫矽氧烷、矽倍半氧烷和矽酸鹽的共聚物、矽氮烷為主的材料、聚碳矽烷、及乙醯氧矽烷。
適當的基底包括(但並未侷限於)矽、絕緣體上的矽、鍺化矽、二氧化矽、玻璃、氮化矽、陶瓷、鋁、銅、神化鎵、塑膠(例如聚碳酸酯)、電路板(例如FR-4與聚亞醯胺)、混合電路基底(例如氮化鋁-氧化鋁)與類似物。這些基底可以進一步包括沉積在上面的薄膜,例如包含(但未侷限於)金屬氮化物、金屬碳化物、金屬矽化物、金屬氧化物與其混合物的薄膜。在一多層式的積體電路裝置中,絕緣平面化之電路線的底層亦可作為一基底。
範例
在此範例中,將包含相同介電材料的多數基底於上述設備內加以處理。圖12顯示在週期性現地清潔功能中的效率。此現地清潔處理包含將一氧化性流體流入處理室內,且將此氧化性流體暴露於紫外線寬帶輻射。輻射探針可以測量紫外線寬帶輻射進入處理室內的強度。在處理含有介電材料的多數基底期間,由於脫氣與沉積在穿透性板上的污染物之緣故,紫外線寬帶輻射的穿透會減少,且與被處理的基底數目呈一函數關係。週期性地清潔處理室能夠清潔此板,以便大致恢復紫外線寬帶輻射的穿透性。有利地,除了穿透性板之外,也可以假設已經清潔了處理室的壁與其他表面。
圖13顯示在現地清潔處理已經完成之後,重新調整處理室的情形。在圖形的左側,在上述的現地清潔處理期間,測量紫外線寬帶輻射之透射比。在暴露於現地清潔處理5分鐘之後,藉由進入處理室內的紫外線寬帶輻射之透射比所指出,板大致上獲得清潔。為了去除氧化性流體,處理室藉由一惰性氣體來清洩。氧氣探針測量出在處理室內剩餘的氧氣濃度與時間呈現函數關係。
雖然已經藉由上述示範性實施例來說明了本發明,但是要知道的是對於熟知此項技術者來說,在不背離本發明的精神與範圍之前提下,仍然可以產生出許多不同的變化以及等效置換其元件。此外,也可以產生許多修改以採行特殊情形或材料,而不偏離本發明所揭示之基本範圍。因此,本發明並未侷限於上述特定實施例,其係揭示執行本發明的最佳模式,而本發明會包括所有落於所附申請專利範圍的實施態樣。
10...設備
12...輻射源模組
14...處理室模組
16...裝卸室模組
20...密封內部區域
22...紫外線輻射源
24...板
26...反射器
27...部位
28...終端
30...無電極燈泡
32...微波腔
33,34...流體入口
35,36...流體源
40...光學濾波器
42,44,46...區域
48...環狀環
52...底座單元
54...底壁
56...側壁
58...凹穴
60...夾頭組件
62...結構
64...側壁
66...開口
68...密封室
69...氣體入口
70...支架
72...環形絕緣環
74...上升插銷組件機構
76...周圍插銷
78...氣體運送孔
80...通道
82...真空線路
84...通道
86...冷卻導管
88...電阻加熱元件
90...熱偶
92...彈簧
94...接觸部
96...上升插銷套筒
102...氣鎖室
104,106...開口
圖1是一剖面圖,顯示在製造積體電路期間,一種處理介電材料的設備,包含一輻射源模組、一處理室模組及一裝卸室模組;圖2是一剖面圖,顯示圖1的輻射源模組;圖3係顯示來自Axcelis科技公司的第一型無電極微波驅動燈泡之寬帶光譜輸出,可適用於作為一紫外線輻射源;圖4係顯示來自Axcelis科技公司的第二型無電極微波驅動燈泡之寬帶光譜輸出,可適用於作為一紫外線輻射源;圖5是一俯視圖,顯示一螢幕,係位於圖1的處理室模組與輻射源模組的中間;圖6是一分解立體圖,顯示圖1的處理室;圖7是一局部分解立體圖,顯示在處理室內所使用的一鄰近熱夾頭組件;圖8是一俯視圖,顯示圖7的鄰近熱夾頭組件中所使用之支架;圖9與10是剖面圖,顯示圖8中的支架;圖11是一剖面圖,顯示圖1的裝卸室模組;圖12顯示紫外線寬帶輻射的透射比與所處理的基底以及定期清潔處理室之函數關係;圖13顯示在現地(in-situ)清潔處理期間,紫外線寬帶輻射的透射比與時間的函數關係,且亦顯示氧氣的濃度與清潔處理之後以及在處理室清洩期間與時間的函數關係。
10...設備
12...輻射源模組
14...處理室模組
16...裝卸室模組

Claims (52)

  1. 一種用於處理介電材料之設備,該設備包含:一輻射源模組,包含一反射器、一紫外線輻射源及一板,該板可允許大約150nm到大約300nm的波長穿透過去,如此界定出一密封內部區域,其中此密封內部區域是與第一流體源呈流體相通;一光學濾波器,係包含一具有複數個開口的篩網螢幕;一處理室,係耦合到該輻射源模組,以便界定一密封室,該密封室是與該紫外線輻射源呈操作性相通,該處理室包含一適用於容納一基底的可關閉開口、一適用於支撐該基底的支架、及一氣體入口,該氣體入口是與第二流體源呈流體相通,其中,該光學濾波器係放置在該輻射源與該基底之間;及一裝卸室模組,係與該處理室與一晶圓搬運機呈操作性相通;該裝卸室包含一氣鎖室,係與第三流體源及一夾頭呈流體相通。
  2. 根據申請專利範圍第1項之設備,其中該篩網螢幕,包含一具有第一篩網尺寸的內部區域以及一外部區域,該外部區域係放置在該內部區域周圍且具有第二篩網尺寸。
  3. 根據申請專利範圍第2項之設備,其中該內部區域係與該紫外線輻射源同軸對齊。
  4. 根據申請專利範圍第1項之設備,其中該光學濾波器包含一塗層、一吸收性氣體、一吸收性固體材料、或上 述光學濾波器的組合。
  5. 根據申請專利範圍第1項之設備,其中該紫外線輻射源包含一無電極燈泡,係耦合到一能源上。
  6. 根據申請專利範圍第1項之設備,其中該紫外線輻射源是一寬帶輻射源,具有一選定的波長光譜,適用於有差別性地與介電材料的第一組化學鍵結與官能基產生反應,而可以穿透該介電材料的第二組選定化學鍵結或官能基。
  7. 根據申請專利範圍第1項之設備,其中該紫外線輻射源包含一介電阻障放電裝置、一電弧放電裝置、或一電子衝擊產生機。
  8. 根據申請專利範圍第1項之設備,其中該第一流體源包含一惰性氣體、一紫外線吸收性氣體、或包含至少一上述氣體之組合物;該第二流體源包含惰性氣體、反應性氣體、紫外線吸收性氣體、或包含至少一上述氣體之組合物;且該第三流體源包含惰性氣體。
  9. 根據申請專利範圍第1項之設備,進一步包含一冷卻外罩,係放置在該反射器附近,且與一冷卻媒介呈流體相通。
  10. 根據申請專利範圍第1項之設備,其中該介電材料是一低k介電材料、一預加金屬的介電材料、氧化物、氮化物、氮氧化物、阻障層材料、蝕刻停止材料、覆蓋層、高k材料、一淺溝槽隔絕介電材料、或包含至少一上述介電材料之組合物。
  11. 根據申請專利範圍第1項之設備,其中該處理室包含一熱源,適用於加熱該基底。
  12. 根據申請專利範圍第11項之設備,其中該熱源包含一鄰近熱夾頭組件,其包含用於支撐基底的多個插銷及一以彈簧安裝的或埋入的熱偶,該熱偶係用於測量基底的溫度。
  13. 根據申請專利範圍第1項之設備,其中該裝卸室係適用於提供一惰性條件,可用於從該處理室搬運來之基底。
  14. 根據申請專利範圍第1項之設備,其中該反射器包含一反射層,係由一鋁金屬、一雙色性材料、或一多層塗層所形成的。
  15. 根據申請專利範圍第14項之設備,其中該反射層可進一步包含一保護層,其具有氟化鎂、二氧化矽、氧化鋁、或包含至少一上述材料之組合物。
  16. 根據申請專利範圍第1項之設備,其中該紫外線輻射源係適用於發射一寬帶輻射型態,包含大約150nm到大約300nm的波長。
  17. 根據申請專利範圍第1項之設備,其中該處理室進一步包含一現地輻射探針,係設置用以測量紫外線寬帶輻射的強度。
  18. 根據申請專利範圍第1項之設備,其中該輻射源模組的密封內部區域是與一排氣裝置或真空呈流體相通。
  19. 根據申請專利範圍第1項之設備,進一步包含一預熱站,係耦合到該處理室。
  20. 根據申請專利範圍第1項之設備,其中該板包含一螢幕,係埋入其中且適用於將紫外線寬帶輻射均勻地散佈於處理室內。
  21. 根據申請專利範圍第1項之設備,其中該處理室進一步包含一氧氣感測器。
  22. 根據申請專利範圍第1項之設備,其中該紫外線輻射源包括一部位,係突出到該密封內部區域中,或與該密封內部區域形成介面。
  23. 根據申請專利範圍第22項之設備,其中該部位包括一終端,係由一金屬絲網形成的。
  24. 一種用於處理介電材料之設備,該設備包含:一輻射源模組,包含一反射器、一適用於發射寬帶輻射的紫外線輻射源及一板,該板可允許大約150nm到大約300nm的波長穿透過去,如此界定出一密封內部區域,其中此密封內部區域是與第一流體源呈流體相通;一光學濾波器,係放置在該輻射源與一基底之間,該光學濾波器包含一具有複數個開口的篩網螢幕;及一處理室模組,係耦合到該輻射源模組,以便界定一密封室,該密封室是與該紫外線輻射源呈操作性相通,該處理室包含一適用於容納該基底的可關閉開口、一適用於支撐該基底的支架、及一氣體入口,該氣體入口是與第二流體源呈流體相通。
  25. 根據申請專利範圍第24項之設備,其中該篩網螢幕,包含一具有第一篩網尺寸的內部區域以及一外部區 域,該外部區域係放置在該內部區域周圍且具有第二篩網尺寸。
  26. 根據申請專利範圍第24項之設備,其中該光學濾波器包含一塗層、一吸收性氣體、一吸收性固體材料、或上述光學濾波器的組合。
  27. 根據申請專利範圍第25項之設備,其中該內部區域係與該紫外線輻射源同軸對齊。
  28. 根據申請專利範圍第25項之設備,進一步包含至少一額外區域,係放置在該外部區域周圍,且具有與第二篩網尺寸不同的一篩網尺寸。
  29. 根據申請專利範圍第24項之設備,其中該寬帶輻射型態包含大約150nm到大約300nm的波長。
  30. 一種用於處理介電材料之製程,包含以下步驟:將一基底從一裝卸室運送至一處理室內,其中該處理室係耦合到一輻射源模組,該輻射源模組包含一反射器、一紫外線輻射源及一板以便界定一密封內部區域,其中該板可允許大約150nm到大約300nm的波長穿透過去;將一惰性氣體流入該處理室與該密封內部區域內;將一光學濾波器放置在該輻射源與一基底之間,其中,該光學濾波器包含一具有複數個開口的篩網螢幕;及產生紫外線寬帶輻射,具有大約150nm到大約300nm的波長,且使該基底暴露於該紫外線寬帶輻射。
  31. 根據申請專利範圍第30項之製程,進一步包含在該反射器附近流入一冷卻媒介。
  32. 根據申請專利範圍第30項之製程,其中將該基底暴露於該紫外線寬帶輻射之步驟包含:使一紫外線吸收性氣體流入該密封內部區域中,以便去除掉傳送至該基底的一部分紫外線寬帶輻射。
  33. 根據申請專利範圍第30項之製程,其中將該基底暴露於該紫外線寬帶輻射之步驟進一步包含:同時將一反應性氣體流入該處理室內。
  34. 根據申請專利範圍第30項之製程,進一步包含將該基底加熱至一20℃到450℃的溫度。
  35. 根據申請專利範圍第34項之製程,進一步包含將加熱過的基底運送至該裝卸室,且冷卻該加熱過的基底,而同時將一惰性氣體維持在該裝卸室內。
  36. 根據申請專利範圍第30項之製程,進一步包含週期性地清潔該處理室。
  37. 根據申請專利範圍第36項之製程,其中該週期性地清潔該處理室之步驟包含:將一氧化性流體引入到處理室內,以該紫外線寬帶輻射來活化該氧化性流體,且將污染物從該板與該處理室揮發出去。
  38. 根據申請專利範圍第36項之製程,其中該週期性地清潔該處理室之步驟包含:偵測在紫外線寬帶輻射穿透到該處理室內之變化,其中當該變化超過一預定臨限值時,便引發此清潔處理。
  39. 根據申請專利範圍第38項之製程,其中當穿透的變化比例下降到一預定的變化比例時,或者對於一預定的 波長帶來說變成大約100%的穿透時,便中斷此清潔處理。
  40. 根據申請專利範圍第30項之製程,進一步包含在暴露基底之前,過濾一部分的紫外線寬帶輻射。
  41. 根據申請專利範圍第40項之製程,其中過濾該部分的紫外線寬帶輻射之步驟包含:將一塗層、吸收性氣體、吸收性固體材料或其組合物放置在該紫外線寬帶輻射的一路徑上。
  42. 根據申請專利範圍第30項之製程,其中將該基底暴露到紫外線寬帶輻射之步驟包含:改變該紫外線輻射源的操作條件。
  43. 根據申請專利範圍第30項之製程,其中傳送到該基底的一部分紫外線寬帶輻射係藉由該光學濾波器而去除。
  44. 根據申請專利範圍第30項之製程,其中該介電材料包含一預加金屬的介電材料、一低k介電材料、一阻障層、及包含一或多個上述介電材料之組合物。
  45. 根據申請專利範圍第30項之製程,其中將惰性氣體流入該處理室內之步驟包含一朝向下流動的方向。
  46. 根據申請專利範圍第30項之製程,其中將惰性氣體流入到該處理室內之步驟包含一交叉流動的方向。
  47. 根據申請專利範圍第30項之製程,其中產生紫外線寬帶輻射之步驟包含:以一耦合到能源上的無電極燈泡來激發一氣體填充物。
  48. 根據申請專利範圍第47項之製程,其中該能源是 一微波能量、射頻能量、或上述能源的一組合物。
  49. 根據申請專利範圍第30項之製程,進一步包含使該處理室內的該板附近之一氣體產生流動,其流動量與流動速率可有效使一孔洞生成物或任何脫氣材料從基底沉積到該板上之情形降到最小。
  50. 根據申請專利範圍第30項之製程,進一步包含使該處理室內的該板附近之一氣體產生流動,其流動量與流動速率可有效清潔該板,其中該氣體藉由該紫外線寬帶輻射而活化。
  51. 根據申請專利範圍第30項之製程,進一步包含連續性或週期性地監視該處理室內的氧氣濃度。
  52. 根據申請專利範圍第51項之製程,進一步包含使該處理室內的氧氣濃度維持在小於100ppm。
TW94120423A 2004-06-18 2005-06-20 用於處理介電材料之設備及製程 TWI424460B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US58118504P 2004-06-18 2004-06-18

Publications (2)

Publication Number Publication Date
TW200625388A TW200625388A (en) 2006-07-16
TWI424460B true TWI424460B (zh) 2014-01-21

Family

ID=50348573

Family Applications (1)

Application Number Title Priority Date Filing Date
TW94120423A TWI424460B (zh) 2004-06-18 2005-06-20 用於處理介電材料之設備及製程

Country Status (1)

Country Link
TW (1) TWI424460B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101631586B1 (ko) * 2008-06-27 2016-06-17 어플라이드 머티어리얼스, 인코포레이티드 기판 성능에 대한 높은 처리량 및 안정한 기판을 위한 급속 주기적 및 포괄적 후 다중 기판 uv-오존 세정 시퀀스들의 중첩

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
US6190458B1 (en) * 1997-09-08 2001-02-20 Tokyo Electron Limited Apparatus for eliminating impurities by ozone generated in space above substrate surface and film forming method and system therewith
TW540118B (en) * 2001-03-28 2003-07-01 United Microelectronics Corp Method for increasing the surface wetability of low dielectric constant material
EP1420439A2 (en) * 2002-11-14 2004-05-19 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
US6190458B1 (en) * 1997-09-08 2001-02-20 Tokyo Electron Limited Apparatus for eliminating impurities by ozone generated in space above substrate surface and film forming method and system therewith
TW540118B (en) * 2001-03-28 2003-07-01 United Microelectronics Corp Method for increasing the surface wetability of low dielectric constant material
EP1420439A2 (en) * 2002-11-14 2004-05-19 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films

Also Published As

Publication number Publication date
TW200625388A (en) 2006-07-16

Similar Documents

Publication Publication Date Title
US7709814B2 (en) Apparatus and process for treating dielectric materials
KR101233059B1 (ko) 유전 물질을 처리하는 장치 및 프로세스
US7265061B1 (en) Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US10068765B2 (en) Multi-step system and method for curing a dielectric film
US8629068B1 (en) Multi-station sequential curing of dielectric films
US8242028B1 (en) UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US9873946B2 (en) Multi-station sequential curing of dielectric films
KR100906516B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US8956457B2 (en) Thermal processing system for curing dielectric films
US20050263719A1 (en) Ultraviolet ray generator, ultraviolet ray irradiation processing apparatus, and semiconductor manufacturing system
KR101046530B1 (ko) 저 유전 상수(κ) 필름의 후-처리 방법
JP5490024B2 (ja) 有孔性低誘電率誘電膜の硬化方法
EP1873818A2 (en) Process for curing dielectric films
US20090075491A1 (en) Method for curing a dielectric film
JP2009094503A (ja) 紫外線による材料キュアのための半導体処理装置及び方法
US9502255B2 (en) Low-k damage repair and pore sealing agents with photosensitive end groups
TWI424460B (zh) 用於處理介電材料之設備及製程
KR101653907B1 (ko) 경화 다공질 유전체로부터 다시 충전되는 기공 충전제를 제거하는 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees