DE69224640D1 - VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT - Google Patents

VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT

Info

Publication number
DE69224640D1
DE69224640D1 DE69224640T DE69224640T DE69224640D1 DE 69224640 D1 DE69224640 D1 DE 69224640D1 DE 69224640 T DE69224640 T DE 69224640T DE 69224640 T DE69224640 T DE 69224640T DE 69224640 D1 DE69224640 D1 DE 69224640D1
Authority
DE
Germany
Prior art keywords
reduced
coating
hydrogen content
siox film
intrinsic tension
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69224640T
Other languages
English (en)
Other versions
DE69224640T2 (de
Inventor
Mark Weise
Dean Denison
Yasuhiro - - Inudo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of DE69224640D1 publication Critical patent/DE69224640D1/de
Publication of DE69224640T2 publication Critical patent/DE69224640T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
DE69224640T 1991-05-17 1992-05-15 VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT Expired - Fee Related DE69224640T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US70174991A 1991-05-17 1991-05-17
PCT/US1992/004103 WO1992020833A1 (en) 1991-05-17 1992-05-15 A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT

Publications (2)

Publication Number Publication Date
DE69224640D1 true DE69224640D1 (de) 1998-04-09
DE69224640T2 DE69224640T2 (de) 1998-10-01

Family

ID=24818528

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69224640T Expired - Fee Related DE69224640T2 (de) 1991-05-17 1992-05-15 VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT

Country Status (5)

Country Link
US (2) US5750211A (de)
EP (1) EP0584252B1 (de)
JP (1) JP3670277B2 (de)
DE (1) DE69224640T2 (de)
WO (1) WO1992020833A1 (de)

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
EP0584252B1 (de) * 1991-05-17 1998-03-04 Lam Research Corporation VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JPH06326026A (ja) 1993-04-13 1994-11-25 Applied Materials Inc 半導体装置の薄膜形成方法
US5571571A (en) * 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
EP0710055B1 (de) 1994-10-31 1999-06-23 Applied Materials, Inc. Plasmareaktoren zur Halbleiterscheibenbehandlung
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
JP3641869B2 (ja) * 1996-03-19 2005-04-27 ソニー株式会社 半導体装置の製造方法
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
TW335511B (en) * 1996-08-02 1998-07-01 Applied Materials Inc Stress control by fluorination of silica film
JP3355949B2 (ja) * 1996-08-16 2002-12-09 日本電気株式会社 プラズマcvd絶縁膜の形成方法
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US6362114B1 (en) 1996-11-12 2002-03-26 Micron Technology, Inc. Semiconductor processing methods of forming an oxynitride film on a silicon substrate
JP3773340B2 (ja) 1996-12-18 2006-05-10 大日本印刷株式会社 低屈折率SiO2 膜及びその製造方法
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6127285A (en) * 1997-02-28 2000-10-03 Dallas Instruments Incorporated Interlevel dielectrics with reduced dielectric constant
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
EP0872879A1 (de) 1997-04-15 1998-10-21 STMicroelectronics S.r.l. Verfahren der Endpassivierung einer IC-Anordnung
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6147011A (en) 1998-02-28 2000-11-14 Micron Technology, Inc. Methods of forming dielectric layers and methods of forming capacitors
JP3330554B2 (ja) * 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
KR100854555B1 (ko) * 1999-07-08 2008-08-26 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
US6524971B1 (en) 1999-12-17 2003-02-25 Agere Systems, Inc. Method of deposition of films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
KR100797385B1 (ko) * 2000-10-19 2008-01-24 로베르트 보쉬 게엠베하 유도 결합 플라즈마를 이용한 기판의 에칭 장치 및 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
JP2002261081A (ja) * 2001-03-01 2002-09-13 Asm Japan Kk 半導体ウエハのエッチング装置及び方法
WO2002073257A1 (en) * 2001-03-13 2002-09-19 Redfern Integrated Optics Pty Ltd Silica-based optical device fabrication
AUPR368201A0 (en) 2001-03-13 2001-04-12 Redfern Integrated Optics Pty Ltd Silica-based optical device fabrication
US6748994B2 (en) * 2001-04-11 2004-06-15 Avery Dennison Corporation Label applicator, method and label therefor
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6667248B2 (en) 2001-09-05 2003-12-23 Applied Materials Inc. Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
US6620713B2 (en) 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
JP3836032B2 (ja) * 2002-02-01 2006-10-18 三菱重工業株式会社 プラズマcvd装置
AU2003255254A1 (en) 2002-08-08 2004-02-25 Glenn J. Leedy Vertical system integration
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
JP4034202B2 (ja) 2003-02-10 2008-01-16 富士通日立プラズマディスプレイ株式会社 ガス放電パネル及びその製造方法
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7067434B2 (en) * 2003-12-22 2006-06-27 Texas Instruments Incorporated Hydrogen free integration of high-k gate dielectrics
US20050136580A1 (en) * 2003-12-22 2005-06-23 Luigi Colombo Hydrogen free formation of gate electrodes
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US7741171B2 (en) * 2007-05-15 2010-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Oxygen-rich layers underlying BPSG
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US20110214909A1 (en) 2010-03-05 2011-09-08 International Business Machines Corporation Hydrophobic Silane Coating for Preventing Conductive Anodic Filament (CAF) Growth in Printed Circuit Boards
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP5685617B2 (ja) * 2013-03-29 2015-03-18 ▲さん▼圓光電股▲ふん▼有限公司 発光ダイオード装置の製造方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN105097485B (zh) * 2014-05-05 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 腔室环境调控方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP2015065465A (ja) * 2014-12-04 2015-04-09 ▲さん▼圓光電股▲ふん▼有限公司 発光ダイオード装置の製造方法
US10212812B2 (en) 2016-01-15 2019-02-19 International Business Machines Corporation Composite materials including filled hollow glass filaments
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
CN112760615B (zh) * 2020-12-17 2023-04-28 武汉新芯集成电路制造有限公司 一种二氧化硅薄膜及其低温制备方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3655438A (en) * 1969-10-20 1972-04-11 Int Standard Electric Corp Method of forming silicon oxide coatings in an electric discharge
CA1159012A (en) * 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPH0635323B2 (ja) * 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US5108543A (en) * 1984-11-07 1992-04-28 Hitachi, Ltd. Method of surface treatment
US4572841A (en) * 1984-12-28 1986-02-25 Rca Corporation Low temperature method of deposition silicon dioxide
US4614666A (en) * 1985-02-07 1986-09-30 Sperry Corporation Semi-conductor device with sandwich passivation coating
US4631199A (en) 1985-07-22 1986-12-23 Hughes Aircraft Company Photochemical vapor deposition process for depositing oxide layers
FR2588281B1 (fr) 1985-10-08 1991-08-16 Air Liquide Procede de traitement thermique pour la realisation de pieces en acier resistant a la corrosion
JPS6297318A (ja) 1985-10-24 1987-05-06 Matsushita Electric Ind Co Ltd 半導体装置
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4973381A (en) * 1987-11-30 1990-11-27 Texas Instruments Incorporated Method and apparatus for etching surface with excited gas
US5023206A (en) * 1987-12-16 1991-06-11 Texas Instruments Incorporated Semiconductor device with adjacent non-oxide layers and the fabrication thereof
US4877641A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for plasma depositing silicon nitride and silicon dioxide films onto a substrate
JPH02138750A (ja) * 1988-08-24 1990-05-28 Mitsubishi Electric Corp 半導体装置の製造方法
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH0339480A (ja) * 1989-07-05 1991-02-20 Sony Corp Ecrプラズマ装置
JPH03153035A (ja) 1989-11-10 1991-07-01 Mitsubishi Electric Corp 半導体装置の製造方法
EP0584252B1 (de) * 1991-05-17 1998-03-04 Lam Research Corporation VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JPH0533138A (ja) 1991-07-30 1993-02-09 Fuji Electric Co Ltd 酸化膜の製造方法
US5571571A (en) 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition

Also Published As

Publication number Publication date
DE69224640T2 (de) 1998-10-01
WO1992020833A1 (en) 1992-11-26
JP3670277B2 (ja) 2005-07-13
US6326064B1 (en) 2001-12-04
JPH06507942A (ja) 1994-09-08
EP0584252A4 (en) 1994-05-25
EP0584252A1 (de) 1994-03-02
US5750211A (en) 1998-05-12
EP0584252B1 (de) 1998-03-04

Similar Documents

Publication Publication Date Title
DE69224640D1 (de) VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
DE69326123D1 (de) Dünnfilmtransistor und verfahren zur herstellung eines dünnfilmtransistors
DE69430230D1 (de) Verfahren und Vorrichtung zur Herstellung eines Einkristallinen dünnen Films
DE69428014D1 (de) Verfahren zur Herstellung eines Dünnschichttransistors
DE69310024D1 (de) System und Verfahren zur Herstellung eines mehrlagigen Filmes auf einem Bandträger
DE69302297D1 (de) Vorrichtung und Verfahren zur Herstellung eines Formteils mit einer komplexen Struktur durch Kontaktauflegen eines Fadens oder Bandes
DE69211218D1 (de) Dünnfilm Feldeffektanordnung mit einer LDD-Struktur und Verfahren zur Herstellung
DE69434949D1 (de) Verfahren und Vorrichtung zur Bildung eines abgeschiedenen Films
DE69309123D1 (de) Verfahren und Vorrichtung zur Herstellung eines Druckwandlers mit einem laminierten Substrat
DE69216747D1 (de) Verfahren zur Bildung eines dünnen Films
DE69219073D1 (de) Dünnfilm-Transistor mit einer Schutzschicht und Verfahren zur Herstellung
DE69416217D1 (de) Verfahren zum Herstellen eines dünnen Films
DE69106834D1 (de) Verfahren und Anlage zur Herstellung eines Beschichtungsfilms.
DE69433375D1 (de) Verfahren zur Herstellung eines Dünnschichtmusters
DE69213635D1 (de) In Linie beschichteter Polyesterfilm und Verfahren zur Beschichtung
DE69218119D1 (de) Vorrichtung mit flexiblen streifenleitern und verfahren zur herstellung einer derartigen vorrichtung
DE69423726D1 (de) Polyimid-laminat umfassend ein thermoplastisches polyimidpolymer oder einen thermoplastischen polyimidfilm und verfahren zur herstellung des laminats
DE69223186D1 (de) Zusammensetzung zur Verwendung in einem transparenten elektrisch leitenden Film sowie Verfahren zur Herstellung dieses Films
DE69133487D1 (de) Verfahren zur Herstellung eines dünnen metallischen Films
DE69321428D1 (de) Verfahren zur Herstellung eines photographischen Filmes und einer photographischen Filmkassette
EP0718230A3 (de) Verfahren und Vorrichtung um einer Folie eine konstante Spannung zu Erteilen
DE69318404D1 (de) Verfahren zum beschichten eines substrats mit einem silicon- und zirconium-enthaltenden lack
DE69218276D1 (de) Verfahren zur Herstellung eines zusammengesetzten Films auf einem metallischen Substrat
DE69422802D1 (de) Verfahren und Vorrichtung zum Abschälen eines Films
DE69118313D1 (de) Verfahren und Anlage zur Bildung eines dünnen Films

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee