DE60213536T2 - Verfahren zur reinigung von cvd-einrichtungen und reinigungsgerät dafür - Google Patents

Verfahren zur reinigung von cvd-einrichtungen und reinigungsgerät dafür Download PDF

Info

Publication number
DE60213536T2
DE60213536T2 DE60213536T DE60213536T DE60213536T2 DE 60213536 T2 DE60213536 T2 DE 60213536T2 DE 60213536 T DE60213536 T DE 60213536T DE 60213536 T DE60213536 T DE 60213536T DE 60213536 T2 DE60213536 T2 DE 60213536T2
Authority
DE
Germany
Prior art keywords
reaction chamber
plasma
cleaning
gas
converted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60213536T
Other languages
English (en)
Other versions
DE60213536D1 (de
Inventor
Koji Kizu-cho Souraku-gun SHIBATA
Naoto Souraku-gun TSUJI
Hitoshi Souraku-gun MURATA
Etsuo Souraku-gun WANI
Yoshihide Souraku-gun KOSANO
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Daikin Industries Ltd
Canon Anelva Corp
Tokyo Electron Ltd
Kanto Denka Kogyo Co Ltd
Panasonic Corp
Mitsubishi Electric Corp
Hitachi Kokusai Electric Inc
Sanyo Electric Co Ltd
Sony Corp
Renesas Electronics Corp
Ulvac Inc
Original Assignee
Daikin Industries Ltd
Renesas Technology Corp
Canon Anelva Corp
Tokyo Electron Ltd
NEC Electronics Corp
Kanto Denka Kogyo Co Ltd
Mitsubishi Electric Corp
Hitachi Kokusai Electric Inc
Sanyo Electric Co Ltd
Sony Corp
Ulvac Inc
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries Ltd, Renesas Technology Corp, Canon Anelva Corp, Tokyo Electron Ltd, NEC Electronics Corp, Kanto Denka Kogyo Co Ltd, Mitsubishi Electric Corp, Hitachi Kokusai Electric Inc, Sanyo Electric Co Ltd, Sony Corp, Ulvac Inc, Matsushita Electric Industrial Co Ltd filed Critical Daikin Industries Ltd
Publication of DE60213536D1 publication Critical patent/DE60213536D1/de
Application granted granted Critical
Publication of DE60213536T2 publication Critical patent/DE60213536T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Plasma Technology (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung betrifft ein Verfahren zum Reinigen einer CVD (chemische Gasphasenablagerungs(chemical vaper deposition))-Vorrichtung, um dadurch Nebenprodukte zu entfernen, die nach dem Vorgang einer Dünnschichtbildung z.B. an der Innenwand einer Reaktionskammer einer CVD-Vorrichtung haften, wobei die CVD-Vorrichtung verwendet wird, um eine homogene dünne Schicht von hoher Qualität zu bilden, die aus z.B. Siliciumoxid (SiO2) oder Siliciumnitrid (z.B. Si3N4) auf einer Oberfläche eines Basismaterials für einen Halbleiter, wie z.B. ein Siliciumwafer, gebildet wird. Die vorliegende Erfindung betrifft auch ein Reinigungsgerät, das zum Reinigen der CVD-Vorrichtung bei diesem Verfahren verwendet wird.
  • HINTERGRUNDTECHNIK
  • Die dünne Schicht von z.B. Siliciumoxid (SiO2) oder Siliciumnitrid (z.B. Si3N4) wird weitverbreitet in Halbleiterelementen, wie z.B. einem Dünnschichttransistor, fotoelektrischen Aufnehmerelementen usw., verwendet. Hauptsächlich werden die folgenden drei Techniken zur Bildung der dünnen Schicht von z.B. Siliciumoxid oder Siliciumnitrid verwendet:
    • (1) eine physikalische Gasphasenschichtbildungstechnik, wie z.B. Sputtern oder Vakuumgasphasenablagerung, die ein Verfahren ist, bei dem durch physikalische Mittel ein festes Dünnschichtmaterial in Atome oder Atomgruppen umgewandelt wird und auf einer Oberfläche zur Schichtbildung abgelagert wird, um dadurch eine Dünnschicht zu bilden;
    • (2) eine thermische CVD-Technik, die ein Verfahren ist, bei dem ein gasförmiges Dünnschichtmaterial auf eine hohe Temperatur erwärmt wird, um eine chemische Reaktion zu induzieren, wodurch eine Dünnschicht gebildet wird; und
    • (3) eine Plasma-CVD-Technik, die ein Verfahren ist, bei dem ein gasförmiges Dünnschichtmaterial in ein Plasma umgewandelt wird, um eine chemische Reaktion zu induzieren, wodurch eine Dünnschicht gebildet wird.
  • Insbesondere ermöglicht die Plasma-CVD (Plasma-unterstützte chemische Gasphasenablagerung)-Technik (3) eine effiziente Bildung einer dichten gleichförmigen dünnen Schicht, so dass sie nun weitverbreitet verwendet wird.
  • Die Plasma-CVD-Vorrichtung 100 zur Verwendung bei dieser Plasma-CVD- Technik weist im Allgemeinen die Struktur von 5 auf.
  • Genauer gesagt, umfasst die Plasma-CVD-Vorrichtung 100 eine Reaktionskammer 102, in der ein Dekompressionszustand aufrechterhalten wird. Die Reaktionskammer 102 ist in ihrem Innern mit einer oberen Elektrode 104 und einer unteren Elektrode 106 versehen, die mit einem gegebenen Zwischenraum entgegengesetzt zueinander angeordnet sind. Ein Rohstoffgasversorgungspfad 108, der mit einer Rohrstoffgasquelle (nicht dargestellt) verbunden ist, ist mit der oberen Elektrode 104 verbunden, so dass Rohstoffgas durch die obere Elektrode 104 in die Reaktionskammer 102 eingespeist wird.
  • Weiter ist ein Hochfrequenzzuführungsgerät 110 zum Zuführen von Hochfrequenzleistung in der Nähe der oberen Elektrode 104 mit der Reaktionskammer 102 verbunden. Außerdem ist ein Abgaspfad 114 zum Austragen von Abgas durch eine Pumpe 112 mit der Reaktionskammer 102 verbunden.
  • Bei der Verwendung der so konstruierten Plasma-CVD-Vorrichtung 100 werden z.B., wo eine Dünnschicht von Siliciumoxid (SiO2) gebildet wird, Monosilan (SiH4), NO2, N2, O2, Ar usw. durch den Rohstoffgasversorgungspfad 108 und die obere Elektrode 104 in die Reaktionskammer 102, in der eine Dekompression von z.B. 130 Pa aufrechterhalten wird, eingespeist. In dieser Plasma-CVD-Vorrichtung 100 werden z.B., wo eine Dünnschicht von Siliciumnitrid (z.B. Si3N4) gebildet wird, hauptsächlich Monosilan (SiH4), NH3, N2, O2, Ar usw. in die Reaktionskammer 102 eingeleitet. Während der Einleitung wird eine Hochfrequenzleistung von z.B. 13,56 MHz zwischen der oberen und unteren Elektrode 104, 106, die entgegengesetzt zueinander in der Reaktionskammer 102 angeordnet sind, mittels des Hochfrequenzzuführungsgeräts 110 angelegt. Infolgedessen wird ein elektrisches Hochfrequenzfeld erzeugt, und ein Elektron wird auf neutrale Moleküle des Rohstoffgases aufprallen gelassen, so dass im elektrischen Feld ein Hochfrequenzplasma erzeugt wird. Im Hochfrequenzplasma wird das Rohstoffgas in Ionen und Radikale dissoziiert. Die Plasma-CVD-Vorrichtung ist so konstruiert, dass aufgrund der Reaktion zwischen Ionen und/oder Radikalen und anderen Materialien eine Dünnschicht von Siliciumverbindungen auf einer Oberfläche eines Halbleitergegenstands W, wie z.B. eines Siliciumwafers, gebildet wird, der auf einer der Elektroden angeordnet ist (untere Elektrode 106).
  • In dieser Plasma-CVD-Vorrichtung 100 würde im Stadium einer Schichtbildung eine elektrische Entladung in der Reaktionskammer 102 bewirken, dass ein Dünnschichtmaterial, wie z.B. SiO2 oder Si3N4, an Oberflächen haftet und sich dort ablagert, die von der Oberfläche des Halbleitergegenstands W verschieden sind, auf dem eine Schichtbildung durchzuführen ist, z.B. denjenigen der Innenwand der Reaktionskammer 102 und der Elektroden, so dass Nebenprodukte auftreten würden. Wenn solche Nebenprodukte bis zu einer gewissen Dicke anwachsen, würden die Nebenprodukte durch ihr Eigengewicht oder einer Spannung, die dadurch hervorgerufen wird, losgelöst werden. Die Loslösung von Nebenprodukten zum Zeitpunkt einer Schichtbildung würde ein Untermischen von feinen Teilchen als Fremdstoff in Halbleiterprodukten und folglich eine Verunreinigung der Halbleiterprodukte hervorrufen.
  • Deshalb kann diese Plasma-CVD-Vorrichtung 100 keine Dünnschicht von hoher Qualität erzeugen und wies die Gefahr auf, einen Bruch von Halbleiterkreisen oder ihr Kurzschließen hervorzurufen und auch z.B. einen Ausbeuterückgang hervorzurufen.
  • Demgemäß ist es mit Bezug auf die Plasma-CVD-Vorrichtung 100 übliche Praxis, Nebenprodukte mit der Verwendung eines Reinigungsgases von z.B. einer Fluorverbindung, wie z.B. CF4, C2F6 oder NF3, die fakultativ mit O2 usw, beladen ist, nach der Beendigung einer Schichtbildung zu entfernen, so dass die obigen Nebenprodukte wenn nötig abgesaugt werden können.
  • D.h. bei dem Verfahren zum Reinigen mittels der herkömmlichen Plasma-CVD-Vorrichtung 100 unter Verwendung des obigen Reinigungsgases, wie in 5 dargestellt, wird ein Reinigungsgas, das aus einer Fluorverbindung, wie z.B. CF4, C2F6 oder NF3 besteht, die durch ein Gas von z.B. O2 und/oder Ar mitgeschleppt wird, anstelle des Rohstoffgases bei einer Schichtbildung in die Reaktionskammer 102, in der ein Dekompressionszustand aufrechterhalten wird, durch den Rohstoffgasversorgungspfad 108 und die obere Elektrode 104 nach der Beendigung des Schichtbildungschritts eingeleitet. Auf dieselbe Weise wie im Stadium einer Schichtbildung wird eine Hochfrequenzleistung zwischen der oberen und unteren Elektrode 104, 106, die entgegengesetzt zueinander in der Reaktionskammer 102 angeordnet sind, mittels des Hochfrequenzzuführungsgeräts 110 aufgebracht. Infolgedessen wird ein elektrisches Hochfrequenzfeld erzeugt, und ein Elektron wird auf neutrale Moleküle von Reinigungsgas aufprallen gelassen, so dass im elektrischen Feld ein Hochfrequenzplasma erzeugt wird. In dem Hochfrequenzplasma wird das Reinigungsgas in Ionen und Radikale dissoziiert. Die resultierenden Ionen und Radikale reagieren mit Nebenprodukten, wie z.B. SiO2 und Si3N4, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer 102 haften und darauf abgelagert sind, so dass die Nebenprodukte in SiF4 vergast werden. Das vergaste Produkt zusammen mit Abgas wird durch den Abgaspfad 114 mittels der Pumpe 112 außerhalb der Reaktionskammer 102 ausgetragen.
  • Jedoch ist die obige Fluorverbindung, wie z.B. CF4, C2F6 oder NF3, die als das Reinigungsgas verwendet wird, eine stabile Verbindung mit einer langen Lebensdauer in der atmosphärischen Luft und weist folglich einen Nachteil insofern auf, als die Entsorgung von Abgas nach der Reinigung ungefährlich zu machen, schwierig ist, so dass Entsorgungskosten ungünstig ansteigen. Weiter sind sie, da die globalen Erwärmungsfaktoren (Wert für eine kumulative Periode von 100 Jahren) von CF4, C2F6, SF6 und NF3 6500, 9200, 23900 bzw. 8000 sind, außerordentlich groß. Deshalb ist ihr ungünstiger Einfluss auf die Umwelt ersichtlich.
  • D.h. das herkömmliche Reinigungsverfahren für eine Plasma-CVD-Vorrichtung, bei der das Reinigungsgas durch den Rohstoffgasversorgungspfad 108 und die obere Elektrode 104 in die Reaktionskammer 102, in der ein Dekompressionszustand aufrechterhalten wird, eingeleitet wird und das Reinigungsgas zwischen der oberen und unteren Elektrode 104, 106 in Plasma umgewandelt wird, ist als das "Plasma-CVD-Reinigungsverfahren vom Parallelplatten-Typ" bekannt. Bei diesem Verfahren ist das Verhältnis von Gas, das außerhalb der Reaktionskammer 102 durch den Abgaspfad 114 ausgetragen wird, wie in 5 dargestellt, so hoch, dass es im Augenblick einen ungünstigen Einfluss auf die globale Erwärmung aufweist, und auch sowohl der Dissoziationswirkungsgrad als auch das Reinigungsvermögen sind niedrig.
  • Als eine Gegenmaßnahme ist ein Reinigungsverfahren vorgeschlagen worden, bei dem, wie in 6 dargestellt, NF3 als ein Reinigungsgas verwendet wird und NF3 in einen entfernt angeordneten Plasmagenerator 101 eingeleitet wird, der außerhalb der Reaktionskammer 102 angeordnet ist. Infolgedessen wird das NF3 in Plasma umgewandelt, und das Reinigungsgas von NF3, das in ein Plasma umgewandelt worden ist, wird in die Reaktionskammer 102, in der ein Dekompressionszustand aufrechterhalten wird, durch den Rohstoffgasversorgungspfad 108 und die obere Elektrode 104 eingeleitet. Als Folge werden die Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer 102 gereinigt.
  • Jedoch weist dieses NF3-Gas eine hohe Toxizität auf, der Einfluss auf die Umwelt ist groß, und außerdem ist es kostspielig, so dass Kosten eines Halbleiterprodukts ungünstig erhöht werden.
  • Unter diesen Umständen ist es ein Ziel der vorliegenden Erfindung, ein Reinigungsverfahren für eine CVD-Vorrichtung bereitzustellen, wobei die Nebenprodukte, wie z.B. SiO2 und Si3N4, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer im Stadium einer Schichtbildung zum Anhaften gebracht und darauf abgelagert werden, wirkungsvoll entfernt werden können und wobei die Austragsmenge von Reinigungsgas so klein ist, der Einfluss auf die Umwelt, wie z.B. die globale Erwärmung, gering ist und auch eine Kostenreduktion erzielt werden kann. Ein anderes Ziel der vorliegenden Erfindung besteht darin, ein Reinigungsgerät für eine CVD-Vorrichtung bereitzustellen, die bei einem solchen Verfahren verwendet wird.
  • Die US-A-5647945 offenbart eine Vakuumprozessvorrichtung. Sie weist ein Reinigungssystem auf, wobei ein Reinigungsgas, z.B. CIF, durch eine Gasverteilungseinheit in die Prozesskammer zugeführt wird, wodurch die Kammer gereinigt wird.
  • Die US-A-5935340 und die EP-A-1079000 offenbaren ein Verfahren zum Reinigen einer CVD-Vorrichtung, wobei ein Rohstoffgas in eine Reaktionskammer eingespeist wird, eine abgelagerte Schicht auf einer Oberfläche eines Basismaterials gebildet wird, das in der Reaktionskammer angeordnet ist, wobei das Verfahren umfasst: Umwandeln eines fluorierten Reinigungsgases, das eine Fluorverbindung enthält, in ein Plasma mittels eines entfernt angeordneten Plasmagenerators nach der Ablagerungsschichtbildung auf der Basismaterialoberfläche durch die Verwendung der CVD-Vorrichtung, und Einleiten des Reinigungsgases, das in ein Plasma umgewandelt worden ist, in die Reaktionskammer.
  • Die US-A-4910042 offenbart ein Verfahren zum Reinigen einer Beschichtungsvorrichtung, wobei ein reaktives Gas über Speiseleitungen in ein Reaktionsrohr eingespeist wird und ein fluoriertes Reinigungsplasma über ein separates Verbindungselement direkt in ein Rohr eingeleitet wird.
  • Gemäß einem ersten Aspekt ist die vorliegende Erfindung dadurch gekennzeichnet, dass das Plasma durch einen zu demjenigen des Rohstoffgases separaten Pfad direkt in die Reaktionskammer eingeleitet wird, um dadurch jegliche Nebenprodukte zu entfernen, die an inneren Teilen der Reaktionskammer haften, und das Reinigungsgas, das in ein Plasma umgewandelt worden ist, durch einen Rohstoffgasversorgungspfad auch in die Reaktionskammer eingeleitet wird.
  • Die US-A-5935340 und die EP-A-1079000 offenbaren auch ein Reinigungsgerät für eine CVD-Vorrichtung, wobei die CVD-Vorrichtung eine Plasma-CVD-Vorrichtung ist, wobei ein Rohstoffgas in eine Reaktionskammer eingespeist wird, eine abgelagerte Schicht auf einer Oberfläche eines Basismaterials gebildet wird, das in der Reaktionskammer angeordnet ist, wobei das Reinigungsgerät umfasst: einen entfernt angeordneten Plasmagenerator, der ein fluoriertes Reinigungsgas, das eine Fluorverbindung enthält, nach der Ablagerungsschichtbildung auf der Basismaterialoberfläche durch die Verwendung der Plasma-CVD-Vorrichtung in ein Plasma umwandeln kann; und einen Reinigungsgaseinleitungspfad zum Einleiten des Reinigungsgases, das mittels des entfernt angeordneten Plasmagenerators in ein Plasma umgewandelt worden ist, in die Reaktionskammer.
  • Gemäß einem zweiten Aspekt ist die vorliegende Erfindung dadurch gekennzeichnet, dass der Reinigungsgaseinleitungspfad das Reinigungsgas, das in ein Plasma umgewandelt worden ist, durch einen zu demjenigen des Rohstoffgases separaten Pfad direkt in die Reaktionskammer einleitet, so dass Nebenprodukte, die an den inneren Teilen der Reaktionskammer haften, durch das Reinigungsplasma entfernt werden, und das Reinigungsgas, das in ein Plasma umgewandelt worden ist, durch einen Rohstoffgasversorgungspfad auch in die Reaktionskammer eingeleitet wird.
  • Wie oben beschrieben, wird ein fluoriertes Reinigungsgas, das eine Fluorverbindung enthält, durch einen entfernt angeordneten Plasmagenerator nach der Schichtbildung in ein Plasma umgewandelt, und das Reinigungsgas, das in ein Plasma umgewandelt worden ist, wird in die Reaktionskammer eingeleitet, so dass Nebenprodukte, die an inneren Teilen der Reaktionskammer haften, entfernt werden. Deshalb ist der Dissoziationswirkungsgrad von Reinigungsgas so hoch, dass die Nebenprodukte, wie z.B. SiO2 und Si3N4, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, wirkungsvoll entfernt werden können. Außerdem ist aufgrund der Konstruktion die Austragsmenge von Reinigungsgas so klein, der Einfluss auf die Umwelt, wie z.B. die globale Erwärmung, ist gering, und eine Kostenreduktion kann auch erzielt werden.
  • In dieser Konstruktion wird das Reinigungsgas, das in ein Plasma umgewandelt worden ist, direkt in die Reaktionskammer eingeleitet, und das Reinigungsgas wird durch einen Rohstoffgasversorgungspfad in die Reaktionskammer eingeleitet. Infolgedessen würde der Wirkungsgrad eines Vergasens der Nebenprodukte in SiF4, indem das Reinigungsgas mit Nebenprodukten, wie z.B. SiO2 und Si3N4, umgesetzt wird, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, weiter verbessert werden.
  • Weiter durchströmt das Reinigungsgas, das durch den entfernt angeordneten Plasmagenerator in ein Plasma umgewandelt worden ist, nicht die obere Elektrode usw., so dass das Reinigungsgas nicht mit Wandteilen von solchen Elementen in Berührung treten oder auf sie aufprallen würde. Deshalb würde der Wirkungsgrad eines Vergasens der Nebenprodukte in SiF4, indem das Reinigungsgas mit Nebenprodukten, wie z.B. SiO2 und Si3N4, umgesetzt wird, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, in keinem Fall vermindert.
  • Vorzugsweise ist der Abstand zwischen dem entfernt angeordneten Plasmagenerator und der Reaktionskammer im Bereich von 0 bis 200 cm.
  • Folglich würde durch diese Bestimmung des Abstandes zwischen dem entfernt angeordneten Plasmagenerator und der Reaktionskammer die Verminderung des Wirkungsgrads eines Vergasens der Nebenprodukte in SiF4, indem das Reinigungsgas mit Nebenprodukten, wie z.B. SiO2 und Si3N4, umgesetzt wird, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, wirkungsvoll verhindert werden.
  • Vorzugsweise wird das Reinigungsgas durch einen Seitenteil der Reaktionskammer eingeleitet.
  • Aufgrund dieser Konstruktion ist, da das Reinigungsgas, das in ein Plasma umgewandelt worden ist, von einem Seitenteil der Reaktionskammer in die Reaktionskammer eingeleitet wird, der Pfad zum Einleiten des Reinigungsgases, das in ein Plasma umgewandelt worden ist, kurz, und das Reinigungsgas wird direkt zu durchweg gewünschten Orten eingespeist, die in der Reaktionskammer zu reinigen sind. Deshalb kann der Wirkungsgrad eines Vergasens der Nebenprodukte in SiF4, indem das Reinigungsgas mit Nebenprodukten, wie z.B. SiO2 und Si3N4, umgesetzt wird, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, verbessert werden.
  • Es wird bevorzugt, dass ein Durchsatz des Reinigungsgases, das in die Reaktionskammer eingeleitet wird, 0,1 bis 100 L/min beträgt.
  • Deshalb würde durch diese Bestimmung des Durchsatzes von Reinigungsgas, das in die Reaktionskammer eingeleitet wird, der Wirkungsgrad eines Vergasens der Nebenprodukte in SiF4, indem das Reinigungsgas mit Nebenprodukten, wie z.B. SiO2 und Si3N4, umgesetzt wird, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, verbessert werden.
  • Es wird auch bevorzugt, dass sich der Druck von Reinigungsgas in der Reaktionskammer im Bereich von 10 bis 2000 Pa befindet.
  • Deshalb würde durch diese Bestimmung des Durchsatzes von Reinigungsgas, das in die Reaktionskammer eingeleitet wird, der Wirkungsgrad eines Vergasens der Nebenprodukte in SiF4, indem das Reinigungsgas mit Nebenprodukten, wie z.B. SiO2 und Si3N4, umgesetzt wird, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, verbessert werden.
  • In einer bevorzugten Ausführungsform wird ein zweiter Reinigungsgaseinleitungspfad bereitgestellt, um Reinigungsgas, das in ein Plasma umgewandelt worden ist, durch einen Rohstoffgasversorgungspfad in die Reaktionskammer einzuleiten.
  • Vorzugsweise wird ein selektives Schalten zwischen der direkten Einleitung des Reinigungsgases, das in ein Plasma umgewandelt worden ist, in die Reaktionskammer durch einen zu demjenigen des Rohstoffgases separaten Pfad und der Einleitung des Reinigungsgases, das in ein Plasma umgewandelt worden ist, in die Reaktionskammer durch den Rohstoffgasversorgungspfad ausgeführt.
  • Vorzugsweise umfasst das Reinigungsgerät weiter einen Schaltkontroller, der das selektive Schalten zwischen dem Reinigungsgaseinleitungspfad und dem zweiten Reinigungsgaseinleitungspfad ausführen kann.
  • Deshalb würde durch diese Konstruktion der Wirkungsgrad eines Vergasens von Nebenprodukten in SiF4, indem das Reinigungsgas mit Nebenprodukten, wie z.B. SiO2 und Si3N4, umgesetzt wird, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, weiter verbessert werden.
  • In der vorliegenden Erfindung wird es weiter bevorzugt, dass die Fluorverbindung mindestens ein Element ist, das aus der Gruppe ausgewählt ist, die aus stickstoffhaltigen Fluorverbindungen, sauerstoffhaltigen Perfluorkohlenstoffen und Perfluorkohlenstoffen mit 1 bis 6 Kohlenstoffatomen besteht. Noch besser ist die Fluorverbindung ein Perfluorkohlenstoff mit 1 bis 6 Kohlenstoffatomen.
  • Hierin bedeutet die Terminologie "Perfluorkohlenstoffe" Verbindungen, die aus verschiedenen funktionalen Gruppen und fluorierten Kohlenstoffverbindungen bestehen, wie z.B. eine kettenförmige aliphatische Verbindung, ein linearer Ether, ein cyclischer Ether und ungesättigte (einschließlich Dien) Verbindungen und Verbindungen, die Sauerstoff in ihren Molekülen enthalten.
  • Wenn diese Fluorverbindungen als das Reinigungsgas verwendet werden, ist der Dissoziationswirkungsgrad von Reinigungsgas so hoch, dass die Nebenprodukte, wie z.B. SiO2 und Si3N4, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, wirkungsvoll entfernt werden können. Außerdem ist, wenn diese Fluorverbindungen als das Reinigungsgas verwendet werden, die Austragsmenge von Reinigungsgas so klein, dass der Einfluss auf die Umwelt, wie z.B. die globale Erwärmung, klein ist und eine Kostenreduktion erzielt werden kann.
  • Bevorzugte Ausführungsformen der vorliegenden Erfindung werden nun nur anhand eines Beispiels und mit Bezug auf die begleitenden Zeichnungen beschrieben.
  • 1 ist eine schematische Ansicht, die die erste Ausführungsform darstellt, wobei das Reinigungsgerät für eine CVD-Vorrichtung, das beim Durchführen des Reinigungsverfahrens für eine CVD-Vorrichtung verwendet wird, gemäß der vorliegenden Erfindung, bei einem Plasma-CVD-Verfahren eingesetzt worden ist;
  • 2 ist eine teilweise vergrößerte Schnittansicht des Reinigungsgeräts für eine CVD-Vorrichtung gemäß der vorliegenden Erfindung;
  • 3 ist eine andere teilweise vergrößerte Schnittansicht des Reinigungsgeräts für eine CVD-Vorrichtung gemäß der vorliegenden Erfindung;
  • 4 ist eine schematische Ansicht, die die zweite Ausführungsform darstellt, wobei das Reinigungsgerät für eine CVD-Vorrichtung, das beim Durchführen des Reinigungsverfahrens für eine CVD-Vorrichtung verwendet wird, gemäß der vorliegenden Erfindung, bei einer Plasma-CVD-Vorrichtung eingesetzt worden ist;
  • 5 ist eine schematische Ansicht einer Plasma-CVD-Vorrichtung zur Verwendung beim herkömmlichen Plasma-CVD-Verfahren;
  • 6 ist eine schematische Ansicht eines Reinigungsgerät für eine Plasma-CVD-Vorrichtung, die beim herkömmlichen Plasma-CVD-Verfahren verwendet wird;
  • 7 ist eine grafische Darstellung, die die Beziehung zwischen einem Reinigungsdruck und einer Gasausstoßmenge darstellt; und
  • 8 ist eine grafische Darstellung, die die Beziehung zwischen einer Anzahl von Stücken, die einen Schichtbildungsprozess erfahren haben, und einer Anzahl von beobachteten Fremdstoffen in Bezug zu verschiedenartigen Reinigungsverfahren darstellt.
  • 1 ist eine schematische Ansicht, die die erste Ausführungsform darstellt, wobei das Reinigungsgerät für eine CVD-Vorrichtung, das beim Durchführen des Reinigungsverfahrens für eine CVD-Vorrichtung verwendet wird, gemäß der vorliegenden Erfindung, bei einem Plasma-CVD-Verfahren eingesetzt worden ist.
  • Wie in 1 dargestellt, umfasst ein Reinigungsgerät 11 für eine Plasma-CVD-Vorrichtung 10 eine Reaktionskammer 12, wobei ein Vakuum (reduzierter Druck) aufrechterhalten wird. Die Reaktionskammer 12 ist an ihrer unteren Wand 12c mit einem Abgaspfad 16 versehen, durch den das Innengas mittels einer Pumpe 14 außerhalb ausgetragen wird. Aufgrund dieser Konstruktion wird im Innern der Reaktionskammer 12 ein gegebenes Vakuum (Dekompressionszustand) aufrechterhalten.
  • Weiter ist die Reaktionskammer 12 in ihrem Innern mit einer unteren Elektrode 18 versehen, die eine Arbeitsfläche zum Montieren eines Basismaterials A bildet, wie z.B. eines Siliciumwafers, auf dem an einer oberen Oberfläche eine Siliciumdünnschicht abgelagert wird (z.B. aus der Gasphase abgelagert). Die untere Elektrode 18 ist durch die untere Wand 12c der Reaktionskammer 12 geführt und ist mittels Treibeinrichtungen (nicht dargestellt) vertikal verschiebbar. Infolgedessen kann die untere Elektrode 18 ihre Position regeln. Ein Gleitteil zwischen der unteren Elektrode 18 und der unteren Wand 12c ist mit einem Dichtungselement, wie z.B. einem Dichtungsring (nicht dargestellt), versehen, so dass ein Vakuum im Innern der Reaktionskammer 12 gewährleistet werden kann.
  • Andererseits ist an einem oberen Teil der Reaktionskammer 12 eine obere Elektrode 20 angeordnet. Ein Basisendteil 22 der oberen Elektrode 20 ist durch eine obere Wand 12a der Reaktionskammer 12 geführt und ist mit einer Hochfrequenzenergiequelle 24 verbunden, die außerhalb der Reaktionskammer 12 angeordnet ist. Die obere Elektrode 20 ist mit einem Hochfrequenzzuführungsgerät 25, wie z.B. einer Hochfrequenzbeaufschlagungsspule (nicht dargestellt) versehen. Eine Anpassungsschaltung (nicht dargestellt) ist zwischen dem Hochfrequenzzuführungsgerät 25 und der Hochfrequenzenergiequelle 24 angeordnet. Aufgrund dieser Konstruktion kann sich die Hochfrequenzleistung, die durch die Hochfrequenzenergiequelle 24 erzeugt wird, zum Hochfrequenzzuführungsgerät 25, wie z.B. einer Hochfrequenzbeaufschlagungsspule, ohne jeglichen Verlust fortpflanzen.
  • Weiter ist die obere Elektrode 20 mit einem Rohstoffgasversorgungspfad 26 versehen. Infolgedessen wird das Rohstoffgas von einer Rohstoffgasversorgungsquelle 28 durch den Rohstoffgasversorgungspfad 26 und die obere Elektrode 20 in die Reaktionskammer 12, in der ein Dekompressionszustand aufrechterhalten wird, eingeleitet.
  • Zusätzlich ist ein entfernt angeordneter Plasmagenerator 30, der ein fluoriertes Reinigungsgas, das eine Fluorverbindung enthält, in ein Plasma umwandeln kann, mit einem Seitenteil der Reaktionskammer 12 versehen. Weiter wird das Reinigungsgas, das durch den entfernt angeordneten Plasmagenerator 30 in ein Plasma umgewandelt worden ist, von der Seitenwand 12b durch eine Verbindungsrohrleitung 32, die einen Gaseinleitungspfad bildet, in die Reaktionskammer 12 eingeleitet.
  • Die Plasma-CVD-Vorrichtung 10 der obigen Konstruktion gemäß der vorliegenden Erfindung wird auf die folgende Weise betrieben.
  • Zuerst wird das Basismaterial A, wie z.B. ein Siliciumwafer, auf dem an einer oberen Oberfläche eine Siliciumdünnschicht aus der Gasphase abgelagert werden soll, auf der Arbeitsfläche der unteren Elektrode 18 der Reaktionskammer 12 montiert. Der Abstand zwischen dem Basismaterial A und der oberen Elektrode 20 wird durch Treibeinrichtungen (nicht dargestellt) auf einen vorbestimmten Abstand geregelt.
  • Dann wird ein Innengas durch den Abgaspfad 16, der in der unteren Wand 12c der Reaktionskammer 12 vorgesehen ist, mittels der Pumpe 14 außerhalb ausgetragen. Infolgedessen wird ein gegebenes Vakuum (Dekompressionszustand) von z.B. 10 bis 2000 Pa im Innern der Reaktionskammer 12 aufrechterhalten.
  • Anschließend wird das Rohstoffgas in die Reaktionskammer 12, in der ein Dekompressionszustand aufrechterhalten wird, von einer Rohstoffgasversorgungsquelle 28 durch den Rohstoffgasversorgungspfad 26 und die obere Elektrode 20 eingeleitet.
  • In diesem Fall ist es z.B., was das Rohstoffgas anbetrifft, das von der Rohstoffgasversorgungsquelle 28 eingespeist wird, zweckdienlich, Monosilan (SiH2), N2O, N2, O2, Ar usw. als Rohstoffgas bei der Bildung einer dünnen Schicht von Siliciumoxid (SiO2) einzuspeisen. Andererseits ist es bei der Bildung einer dünnen Schicht von Siliciumnitrid (z.B. Si3N4) zweckdienlich, Monosilan (SiH4), NH3, N2, O2, Ar usw. als Rohstoffgas einzuspeisen. Jedoch ist das Rohstoffgas nicht auf diese beschränkt und kann abhängig vom Typ von zu bildender Dünnschicht oder dergleichen geeignet geändert werden. Z.B. kann es zweckdienlich sein, Disilan (Si2H6), TEOS (Tetraethoxysilan: Si(OC2H5)4) usw. als das Rohstoffgas mit der Verwendung von z.B. O2 oder O3 als ein Rohstoffgas zu verwenden.
  • Hochfrequenzleistung, die von der Hochfrequenzenergiequelle 24 abgegeben wird, pflanzt sich zum Hochfrequenzzuführungsgerät 25, wie z.B. einer Hochfrequenzbeaufschlagungsspule, fort, wodurch die Hochfrequenzleistung zur oberen Elektrode 20 aufgebracht wird. Folglich wird durch die obere Elektrode 20 ein elektrisches Hochfrequenzfeld erzeugt. In dem elektrischen Feld prallen Elektronen auf neutrale Moleküle von Rohstoffgas, so dass ein Hochfrequenzplasma erzeugt wird. In dem Hochfrequenzplasma wird das Rohstoffgas in Ionen und Radikale dissoziiert. Aufgrund der Reaktion zwischen Ionen und/oder Radikalen und anderen Materialien wird eine Siliciumdünnschichtverbindung auf einer Oberfläche des Basismaterials A, wie z.B. eines Siliciumwafers, der auf der unteren Elektrode 18 montiert ist, gebildet.
  • In dieser Plasma-CVD-Vorrichtung 10 würde beim Stadium einer Schichtbildung eine elektrische Entladung in der Reaktionskammer 12 bewirken, dass ein Dünnschichtmaterial, wie z.B. SiO2 oder Si3N4, an Oberflächen, die von der Oberfläche des Halbleitergegenstandes A verschieden sind, auf dem eine Schichtbildung durchgeführt werden soll, z.B. denjenigen der Innenwand und Elektroden der Reaktionskammer 12, haftet und darauf abgelagert wird, so dass Nebenprodukte auftreten würden. Wenn solche Nebenprodukte bis zu einer gewissen Dicke anwachsen, würden die Nebenprodukte durch ihr Eigengewicht oder eine Spannung, die dadurch verursacht ist, losgelöst und zerstreut werden. Diese würden zum Zeitpunkt einer Schichtbildung ein Untermischen von feinen Teilchen als Fremdstoff in Halbleiterprodukten und folglich eine Verunreinigung der Halbleiterprodukte hervorrufen. Deshalb kann diese Plasma-CVD-Vorrichtung 10 keine dünne Schicht von hoher Qualität erzeugen und wies die Gefahr auf, einen Bruch von Halbleiterschaltungen oder ein Kurzschließen derselben zu bewirken und auch z.B. einen Ausbeuterückgang hervorzurufen.
  • Demgemäß wird im Reinigungsgerät 11 für die Plasma-CVD-Vorrichtung 10 gemäß der vorliegenden Erfindung das fluorierte Reinigungsgas, das eine Fluorverbindung enthält, mittels des entfernt angeordneten Plasmagenerators 30 in ein Plasma umgewandelt. Dann wird das resultierende Reinigungsgas in die Reaktionskammer 12, in der ein Dekompressionszustand aufrechterhalten wird, durch die Verbindungsrohrleitung 32 eingeleitet.
  • In dem entfernt angeordneten Plasmagenerator 30 wird ein Hochfrequenzplasma erzeugt, und in dem Hochfrequenzplasma wird das Reinigungsgas in Ionen und Radikale dissoziiert. Diese Ionen und Radikale reagieren mit Nebenprodukten, wie z.B. SiO2 und Si3N4, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer 12 haften und darauf abgelagert sind, so dass die Nebenprodukte in SiF4 vergast werden. Das resultierende Gas zusammen mit Abgas wird durch den Abgaspfad 16 mittels der Pumpe 14 außerhalb der Reaktionskammer 12 ausgetragen.
  • Als das eine Fluorverbindung enthaltende fluorierte Reinigungsgas, das mittels des entfernt angeordneten Plasmagenerators 30 in ein Plasma umzuwandeln ist, können z.B. Perfluorkohlenstoffe mit 1 bis 6 Kohlenstoffatomen, umfassend:
    kettenförmige aliphatische Perfluorkohlenstoffe, wie z.B. CF4, C2F6, C3F8, C4F10 und C5F12;
    alicyclische Perfluorkohlenstoffe, wie z.B. C4F8, C5F10 und C6F12;
    lineare Perfluorether, wie z.B. CF3OCF3, CF3OC2F5 und C2F5OC2F5;
    cyclische Perfluorether, wie z.B. C3F6O, C4F8O und C5F10O;
    ungesättigte Perfluorkohlenstoffe, wie z.B. C3F6, C4F8 und C5F10; und
    Dienperfluorkohlenstoffe, wie z.B. C4F6 und C5F8, verwendet werden.
  • Weiter können als das fluorierte Reinigungsgas sauerstoffhaltige Perfluorkohlenstoffe, wie z.B. COF2, CF3COF und CF3OF; stickstoffhaltige Fluorverbindungen, wie z.B. FNO, F3NO und FNO2; und vorzugsweise sauerstoffhaltige stickstoffhaltige Fluorverbindungen verwendet werden.
  • Diese Fluorverbindungen können diejenigen mit mindestens einem Fluoratom sein, die von einer teilweisen Substitution der Fluoratome durch Wasserstoffatome resultieren. Insbesondere ist die Verwendung von CF4, C2F6 oder C3F8 bevorzugt. Die Verwendung von CF4 oder C2F6 ist noch besser.
  • Die obigen Fluorverbindungen können einzeln oder in Kombination verwendet werden.
  • Das Reinigungsgas, das zur Verwendung in der vorliegenden Erfindung eine Fluorverbindung enthält, kann in Kombination mit einem anderen Gas zweckdienlich verwendet werden, vorausgesetzt, es ist nicht nachteilig für die Wirkungen, die durch die vorliegende Erfindung ausgeübt werden. An sich kann ein anderes Gas, z.B. He, Ne, Ar oder O2, verwendet werden. Die Menge von anderem Gas, das mit fluoriertem Reinigungsgas gemischt ist, ist nicht speziell begrenzt und kann bestimmt werden, indem z.B. die Menge und Dicke von Nebenprodukten (Ablagerungen), die an der Innenwand und anderen Teile der Reaktionskammer 12 der CVD-Vorrichtung 10 haften, der Typ von Fluorverbindung, der verwendet wird, und die Zusammensetzung von Nebenprodukten berücksichtigt werden.
  • Als die Zielverbindungen, die durch eine Kammerreinigung unter Verwendung der obigen Fluorverbindungen zu entfernen sind, können Ablagerungen von siliciumhaltigen Verbindungen erwähnt werden, die beim CVD-Verfahren oder dergleichen z.B. an CVD-Kammerwänden und CVD-Vorrichtungsspannvorrichtungen zum Anhaften gebracht werden. Beispiele für solche Ablagerungen siliciumhaltiger Verbindungen umfassen diejenigen von mindestens einem Element, das ausgewählt ist unter:
    • (1) Verbindungen von Silicium,
    • (2) Verbindungen von Silicium und mindestens einem Element, das ausgewählt ist unter Sauerstoff, Stickstoff, Fluor und Kohlenstoff und
    • (3) Verbindungen von Metallsilicit mit hohem Schmelzpunkt. Genauer gesagt, können z.B. Metallsilicite mit hohem Schmelzpunkt von Si, SiO2, Si3N4, WSi usw. verwendet werden.
  • Unter dem Gesichtspunkt der Wirkung einer Reinigung zur Entfernung der Nebenprodukte, die an der Innenwand der Reaktionskammer 12 haften, wird es bevorzugt, dass sich der Durchsatz von Reinigungsgas, das in die Reaktionskammer 12 eingeleitet wird, im Bereich von 0,1 bis 100 L/min, vorzugsweise 0,5 bis 10 L/min, befindet. Der Grund dafür ist, dass, wenn der Durchsatz von Reinigungsgas, das in die Reaktionskammer 12 eingeleitet ist, weniger als 0,1 L/min beträgt, die obige Reinigungswirkung nicht vorausgesehen werden kann. Andererseits wird, wenn der Durchsatz größer als 100 L/min ist, die Menge von Reinigungsgas, die außerhalb ausgetragen wird, ohne zur Reinigung beizutragen, ungünstig groß.
  • Der Durchsatz von Reinigungsgas, das eingeleitet wird, kann abhängig z.B. vom Typ und der Größe des Basismaterials A, wie z.B. einer Flachtafelscheibe oder dergleichen, geeignet geändert werden. Z.B. ist es, wenn die Fluorverbindung C2F6 ist, zweckdienlich, den Durchsatz bei 0,5 bis 5 L/min einzustellen.
  • Weiter wird es unter dem Gesichtspunkt der Wirkung einer Reinigung zur Entfernung der Nebenprodukte, die an der Innenwand der Reaktionskammer 12 haften, bevorzugt, dass sich der Druck von Reinigungsgas in der Reaktionskammer 12 im Bereich von 10 bis 2000 Pa, vorzugsweise 50 bis 100 Pa, befindet. Der Grund ist, dass, wenn der Druck von Reinigungsgas in der Reaktionskammer 12 niedriger als 10 Pa oder größer als 2000 Pa ist, die obige Reinigungswirkung nicht vorausgesehen werden kann. Der Druck von Reinigungsgas in der Reaktionskammer 12 kann abhängig von z.B. dem Typ und der Größe des Basismaterials A, wie z.B. einer Flachtafelscheibe oder dergleichen, geeignet geändert werden. Z.B. ist es, wenn die Fluorverbindung C2F6 ist, zweckdienlich, den Druck bei 100 bis 500 Pa einzustellen.
  • Noch weiter, es ist bevorzugt, dass sich der Abstand zwischen dem entfernt angeordneten Plasmagenerator 30 und der Reaktionskammer 12, d.h. die Länge L der Verbindungsrohrleitung 32, im Bereich von 0 bis 200 cm, vorzugsweise 0 bis 100 cm, und noch besser 0 bis 50 cm, befindet. Der Grund dafür ist, dass, wenn die Länge L größer als 200 cm ist, das Reinigungsgas, das in ein Plasma umgewandelt worden ist, die Wandteile der Verbindungsrohrleitung 32 berühren und auf sie aufprallen würde, mit dem Ergebnis, dass der Wirkungsgrad eines Vergasens der Nebenprodukte vermindert werden würde. Die Länge L kann, obwohl je kleiner desto besser, abhängig von z.B. dem Typ und der Größe des Basismaterials A geeignet bestimmt werden.
  • Obwohl das Material der Verbindungsrohrleitung 32 nicht speziell begrenzt ist, wird es unter dem Gesichtspunkt der Wirkung, den Rückgang eines Verga sungswirkungsgrads zu verhindern, bevorzugt, dass das Material unter z.B. Aluminiumoxid, passiviertem Aluminium, einem Fluorharz und einem Metall, das mit einem Fluorharz beschichtet ist, ausgewählt ist.
  • In dieser Ausführungsform sind der entfernt angeordnete Plasmagenerator 30 und die Reaktionskammer 12 so angeordnet worden, dass das Reinigungsgas, das in ein Plasma umgewandelt worden ist, durch die Verbindungsrohrleitung 32 hindurchgeleitet wird und in die Reaktionskammer 12 an der Kammerseitenwand 12b eingeleitet wird. Jedoch ist diese Anordnung nicht begrenzend, vorausgesetzt, das Reinigungsgas wird direkt in die Reaktionskammer 12 eingeleitet. Z.B. kann das Reinigungsgas in die Reaktionskammer 12 an ihrer oberen Wand 12a oder an ihrer unteren Wand 12c eingeleitet werden. Auch ist es, wie in 2 dargestellt, zweckdienlich, die Verbindungsrohrleitung 32 in eine Mehrzahl von Rohren zu verzweigen und selbst eine Einleitung um die Kammerseitenwand 12b zu bewerkstelligen. Weiter kann, wie in 3 dargestellt, die Einleitung in die Reaktionskammer 12 von Positionen von unterschiedlichen Höhen der Kammerseitenwand 12b bewerkstelligt werden. Noch weiter, diese können, obwohl nicht dargestellt, kombiniert werden.
  • Außerdem ist der entfernt angeordnete Plasmagenerator 30 nicht speziell begrenzt und bekannte entfernt angeordnete Plasmageneratoren können verwendet werden. Z.B. kann "ASTRON", der von ASTEX hergestellt wird, verwendet werden.
  • Die Konstruktion dieser Ausführungsform der vorliegenden Erfindung ist so, dass nach der Schichtbildung das fluorierte Reinigungsgas, das eine Fluorverbindung enthält, durch den entfernt angeordneten Plasmagenerator 30 in ein Plasma umgewandelt wird, und danach das Reinigungsgas, das in ein Plasma umgewandelt worden ist, in die Reaktionskammer 12 eingeleitet wird, so dass Nebenprodukte, die an inneren Teilen der Reaktionskammer 12 haften, entfernt werden. Als Folge ist der Dissoziationswirkungsgrad von Reinigungsgas so hoch, dass die Nebenprodukte, wie z.B. SiO2 und Si3N4, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer 12 haften und darauf abgelagert sind, wirkungsvoll entfernt werden können. Außerdem ist die Austragsmenge des Reinigungsgases so klein, dass der Einfluss auf die Umwelt, wie z.B. die globale Erwärmung, klein ist und eine Kostenreduktion erzielt werden kann.
  • 4 ist eine schematische Ansicht, die die zweite Ausführungsform darstellt, wobei das Reinigungsgerät für die CVD-Vorrichtung, die beim Durchführen des Reinigungsverfahrens für eine CVD-Vorrichtung verwendet wird, gemäß der vorliegenden Erfindung, bei einer Plasma-CVD-Vorrichtung eingesetzt worden ist.
  • Das Reinigungsgerät 11 für die Plasma-CVD-Vorrichtung 10 gemäß dieser Ausführungsform weist grundsätzlich dieselbe Konstruktion auf, wie diejenige des Reinigungsgeräts 11 für die Plasma-CVD-Vorrichtung 10, die in 1 dargestellt ist. Von 1 einschließlich 4 sind gleiche Bezugszeichen gleichen Bestandteilselementen zugewiesen, und eine ausführliche Erklärung derselben wird nicht wiederholt.
  • Das Reinigungsgerät 11 für die Plasma-CVD-Vorrichtung 10 gemäß dieser Ausführungsform ist mit der Verbindungsrohrleitung 32 versehen, die als ein erster Reinigungsgaseinleitungspfad wirkt, der angepasst ist, um das Reinigungsgas, das durch den entfernt angeordneten Plasmagenerator 30 in ein Plasma umgewandelt worden ist, direkt in die Reaktionskammer 12 an ihrer Kammerseitenwand 12b einzuleiten. Das Reinigungsgerät 11 ist auch mit einer Verbindungsrohrleitung 33 versehen, die als ein zweiter Reinigungsgaseinleitungspfad wirkt, der angepasst ist, um das Reinigungsgas, das durch den entfernt angeordneten Plasmagenerator 30 in ein Plasma umgewandelt worden ist, durch den Rohstoffgasversorgungspfad 26 und durch die obere Elektrode 20 in die Reaktionskammer 12 einzuleiten.
  • Diese Konstruktion ist ausgelegt, um das Reinigungsgas, das in ein Plasma umgewandelt worden ist, durch die Verbindungsrohrleitung 32, die als ein erster Reinigungsgaseinleitungspfad wirkt, direkt in die Reaktionskammer 12 einzuleiten. Diese Konstruktion ist ausgelegt, um die Einleitung in die Reaktionskammer 12 durch die Verbindungsrohrleitung 33 zu bewerkstelligen, die als ein zweiter Reinigungsgaseinleitungspfad wirkt, der angepasst ist, um das Reinigungsgas durch den Rohstoffgasversorgungspfad 26 und die obere Elektrode 20 einzuleiten. Deshalb ist der Wirkungsgrad eines Vergasens der Nebenprodukte in SiF4, indem das Reinigungsgas mit Nebenprodukten, wie z.B. SiO2 und Si3N4, umgesetzt wird, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer 12 haften und darauf abgelagert sind.
  • In dieser Ausführungsform wird es, wie in 4 dargestellt, bevorzugt, dass das Reinigungsgerät 11 weiter einen Schaltkontroller 40 umfasst, der ein selektives Schalten zwischen der Verbindungsrohrleitung 32 als dem ersten Reinigungsgaseinleitungspfad und der Verbindungsrohrleitung 33 als dem zweiten Reinigungsgaseinleitungspfad ausführt. Die Konstruktion ermöglicht ein wirkungsvolles Verbessern des Wirkungsgrads eines Vergasens der Nebenprodukte in SiF4 durch Umsetzen mit Nebenprodukten, wie z.B. SiO2 und Si3N4, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer 12 haften und darauf abgelagert sind.
  • Das Obige beschreibt verschiedenartige Formen eines Reinigungsgeräts für eine Plasma-CVD-Vorrichtung gemäß der vorliegenden Erfindung. Im Bereich der vorliegenden Erfindung sind z.B., obwohl die obigen Ausführungsformen auf die Bildung einer Siliciumdünnschicht gerichtet sind, die obigen Ausführungsformen auch auf die Bildung von anderen Dünnschichten, wie z.B. Silicium-Germanium (SiGe), Siliciumcarbid (SiC), SiOF, SiON und kohlenstoffaltige SiO2-Schichten, anwendbar.
  • Bei den obigen Ausführungsformen ist es, obwohl die horizontale Vorrichtung beschrieben wird, möglich, eine vertikale Vorrichtung an die Stelle derselben zu setzen. Weiter kann in den obigen Ausführungsformen, obwohl der Flügeltyp beschrieben ist, eine Anwendung auch auf eine Batch-Typ-CVD-Vorrichtung bewerkstelligt werden.
  • Weiter kann, obwohl die obigen Ausführungsformen einen Modus einer Anwendung auf die Plasma-CVD-Vorrichtung beschreiben, die vorliegende Erfindung auch auf andere CVD-Verfahren angewandt werden, z.B. das Vakuumablagerungsverfahren, bei dem durch die thermische Zersetzung, Oxidation, Reduktion, Polymerisation, Verdampfungsreaktion usw. eines Dünnschichtmaterials bei hohen Temperaturen eine Dünnschicht auf einem Basismaterial abgelagert wird. Wie aus dem Obigen ersichtlich, können natürlich verschiedene Änderungen und Modifikationen vorgenommen werden.
  • [Beispiel 1]
  • Ein Basismaterial (Siliciumsubstrat von 725 μm Dicke) wurde auf der unteren Elektrode 18 montiert, wobei die CVD-Vorrichtung 10 von 1 verwendet wurde. Was reaktives Rohstoffgas anbetrifft., wurde spezifisch Monosilangas 180 sccm, Ammoniak 320 sccm und N2 1 L/min in die Reaktionskammer 12 eingespeist. Der Druck im Innern der Reaktionskammer 12 wurde bei 320 Pa aufrechterhalten, und es wurde eine Hochfrequenzleistung von 520 W Ausgangsleistung von einer 13,65 MHz-Hochfrequenzenergiequelle an die obere Elektrode 20 angelegt. Unter diesen Bedingungen wurde eine Schichtbildung 40 sec lang ausgeführt, so dass eine Dünnschicht von 7000 bis 8000 Å erhalten wurde.
  • Nach dieser Schichtbildung wurde das Reinigungsverfahren der vorliegenden Erfindung mit der Verwendung von:
    Reinigungsgas von 130 Pa Druck, das aus CF4/Ar/O2 bestand (Gasdurchsätze 200/1600/400 (sccm)) und
    Reinigungsgas von 130 Pa Druck, das aus C2F6/Ar/O2 bestand (Gasdurchsätze 100/1600/400 (sccm)) durchgeführt. Der/die Gasentladungsgrad und -zeit, der/die für eine Kammerreinigung erforderlich sind, wurden mittels eines Gasanalysators ("FTIR", hergestellt von MIDAC) gemessen, der stromabwärts von der Pumpe 14 angeordnet war, wie in 1 dargestellt.
  • Als ein Vergleichsbeispiel wurde eine Reinigung gemäß dem Stand der Technik ausgeführt, wie in 6 dargestellt, Reinigungsgas von 260 Pa Druck, das aus NF3/Ar bestand (Gasdurchsätze 500/500 (sccm)), wird mittels des entfernt angeordneten Plasmagenerators 101 in ein Plasma umgewandelt. Dann wird das Reinigungsgas, das in ein Plasma umgewandelt worden ist, durch den Gasver sorgungspfad 108 und die obere Elektrode 104 in die Reaktionskammer 102, in der ein Dekompressionszustand aufrechterhalten wurde, eingeleitet. Der/die Gasentladungsgrad und -zeit, der/die für eine Kammerreinigung erforderlich waren, wurden auf die obige Weise gemessen.
  • Als ein anderes Vergleichsbeispiel, wie in 5 dargestellt, wurde das herkömmliche Plasmareinigungsverfahren vom Parallelplattentyp mit der Verwendung von:
    Reinigungsgas von 200 Pa Duck, das aus C2F6/O2 bestand(Gasdurchsätze 500/500 (sccm)), mit einer RF-Leistung von 500 W und
    Reinigungs Gas von 120 Pa Druck, das aus NF3/Ar bestand (Gasdurchsätze 270/500 (sccm)), mit einer RF-Leistung von 500 W durchgeführt. Der/die Gasentladungsgrad und -zeit, die zur Kammerreinigung erforderlich waren, wurden auf die obige Weise gemessen. Die Ergebnisse sind in Tabelle 1 unten aufgeführt.
  • Wie aus den Ergebnissen von Tabelle 1 ersichtlich ist, ist bei dem Plasmareinigungsverfahren vom herkömmlichen Parallelplattentyp die Gasaustragsmenge hoch, ist der Gasentladungsgrad hoch und ist der Wirkungsgrad einer Dissoziation von Reinigungsgas, der zur beabsichtigten Reinigung beiträgt, in Ionen und Radikale niedrig.
  • Im Gegensatz dazu ist in dem Reinigungsverfahren vom entfernt angeordneten Plasma-Typ gemäß der vorliegenden Erfindung die Gasaustragsmenge niedrig, ist der Gasentladungsgrad niedrig und ist der Wirkungsgrad einer Dissoziation von Reinigungsgas, der zur beabsichtigten Reinigung beiträgt, in Ionen und Radikale hoch. Mit Bezug auf die Zeit, die zur Kammerreinigung erforderlich ist, gibt es keinen markanten Unterschied zwischen dem Reinigungsverfahren vom entfernt angeordneten Plasmatyp gemäß der vorliegenden Erfindung und dem Plasmareinigungsverfahren vom herkömmlichen Parallelplattentyp.
  • Figure 00180001
  • [Beispiel 2]
  • Das Reinigungsverfahren der vorliegenden Erfindung wurde mit der Verwendung von Reinigungsgas, das aus C2F6/Ar/O2 bestand (Gasdurchsätze 100/1600/400 (sccm)) auf dieselbe Weise wie in Beispiel 1 durchgeführt, außer dass der Reinigungsdruck im Bereich von 130 bis 600 Pa variiert wurde, und die Gasaustragsmengen wurden gemessen. Die Ergebnisse sind in der grafischen Darstellung von 7 dargestellt.
  • Es ist aus den Ergebnissen von 7 ersichtlich, dass die Austragsmenge von Entladungsgas, die von einer Reinigung herrührte, durch Erhöhen des Drucks von Reinigungsgas während einer Reinigung vermindert werden kann.
  • [Beispiel 3]
  • Nach jedem von verschiedenartigen Reinigungsverfahren, die auf dieselbe Weise wie in den obigen Beispielen ausgeführt wurden, wurden unter denselben Bedingungen wie in Beispiel 1 Langzeitschichtbildungsexperimente durchgeführt, außer dass der Druck 260 Pa betrug. Weiter wurden als ein Vergleichsbeispiel Langzeitschichtbildungsexperimente auch in dem Fall durchgeführt, wo keine Reinigung ausgeführt wurde.
  • Nach Experimenten kontinuierlicher Schichtbildung wurden Fremdstoffe (Schmutzablagerungen) mit einer Größe von 1,01 μm oder größer auf dem Basismaterial überprüft. Die Ergebnisse sind in der grafischen Darstellung von 8 dargestellt.
  • Wie aus der grafischen Darstellung von 8 ersichtlich ist, gibt es auch in Bezug zur Anzahl von beobachteten Fremdstoffen keinen markanten Unterschied zwischen dem Reinigungsverfahren vom entfernt angeordneten Plasmatyp gemäß der vorliegenden Erfindung und dem Plasmareinigungsverfahren vom herkömmlichen Parallelplattentyp.
  • In der vorliegenden Erfindung wird das fluorierte Reinigungsgas, das eine Fluorverbindung enthält, durch den entfernt angeordneten Plasmagenerator nach der Schichtbildung in ein Plasma umgewandelt. Dann wird das Reinigungsgas, das in ein Plasma umgewandelt worden ist, in die Reaktionskammer eingeleitet, so dass Nebenprodukte, die an inneren Teilen der Reaktionskammer anhaften, entfernt werden. Deshalb ist der Dissoziationswirkungsgrad von Reinigungsgas so hoch, dass die Nebenprodukte, wie z.B. SiO2 und Si3N4, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, wirkungsvoll entfernt werden können. Außerdem ist aufgrund der Konstruktion die Austragsmenge von Reinigungsgas so klein, dass der Einfluss auf die Umwelt, wie z.B. die globale Erwärmung, klein ist, und eine Kostenreduktion kann auch erzielt werden.
  • Weiter tritt in der vorliegenden Erfindung das Reinigungsgas, das durch den entfernt angeordneten Plasmagenerator in ein Plasma umgewandelt worden ist, nicht durch den Rohstoffversorgungspfad, die obere Elektrode usw. hindurch. Infolgedessen prallt das Reinigungsgas, das in ein Plasma umgewandelt worden ist, nicht auf Wandteile von solchen Elementen auf und titt mit denselben nicht in Berührung. Deshalb wird der Wirkungsgrad eines Vergasens der Nebenprodukte in SiF4, indem das Reinigungsgas mit Nebenprodukten, wie z.B. SiO2 und Si3N4, umgesetzt wird, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, in keinem Fall vermindert.
  • Noch weiter, das Reinigungsgas zur Verwendung in der vorliegenden Erfindung enthält eine Fluorverbindung. Was die Fluorverbindung anbetrifft, können zum Beispiel stickstoffhaltige Fluorverbindungen, sauerstoffhaltige Perfluorkohlenstoffe und Perfluorkohlenstoffe mit 1 bis 6 Kohlenstoffatomen verwendet werden. Wenn diese Fluorverbindungen als das Reinigungsgas verwendet werden, ist der Dissoziationswirkungsgrad von Reinigungsgas so hoch, dass die Nebenprodukte, wie z.B. SiO2 und Si3N4, die an Oberflächen der Innenwand, Elektroden und anderen Teile der Reaktionskammer haften und darauf abgelagert sind, wirkungsvoll entfernt werden können. Außerdem ist, wenn diese Fluorverbindungen als das Reinigungsgas verwendet werden, die Austragsmenge von Reinigungsgas so klein, dass der Einfluss auf die Umwelt, wie z.B. die globale Erwärmung, klein ist und auch eine Kostenreduktion erzielt werden kann. Wie aus dem Vorhergehenden ersichtlich, kann die vorliegende Erfindung die verschiedensten bemerkenswerten speziellen Funktionen und Wirkungen ausüben.

Claims (15)

  1. Verfahren zum Reinigen einer CVD-Vorrichtung, bei der ein Rohstoffgas in eine Reaktionskammer (12) eingespeist wird, eine Ablagerungsschicht auf einer Oberfläche von Basismaterial (A) gebildet wird, das in der Reaktionskammer angeordnet ist, wobei das Verfahren umfasst: Umwandeln eines fluorierten Reinigungsgases, das eine Fluorverbindung enthält, in ein Plasma mittels eines entfernt angeordneten Plasmagenerators (30) nach der Ablagerungsschichtbildung auf der Basismaterialoberfläche durch die Verwendung der CVD-Vorrichtung, und Einleiten des Reinigungsgases, das in ein Plasma umgewandelt worden ist, in die Reaktionskammer, dadurch gekennzeichnet, dass das Plasma durch einen von demjenigen des Rohstoffgases separaten Pfad (32) direkt in die Reaktionskammer eingeleitet wird, um dadurch jegliche Nebenprodukte zu entfernen, die an inneren Teilen der Reaktionskammer haften, und wobei Reinigungsgas, das in ein Plasma umgewandelt worden ist, auch durch einen Rohstoffgasversorgungspfad (26) in die Reaktionskammer (12) eingeleitet wird.
  2. Reinigungsverfahren nach Anspruch 1, bei dem sich, wenn das Reinigungsgas, das in ein Plasma umgewandelt worden ist, direkt in die Reaktionskammer eingeleitet wird, ein Abstand zwischen dem entfernt angeordneten Plasmagenerator (30) und der Reaktionskammer (12) im Bereich von 0 bis 200 cm befindet.
  3. Reinigungsverfahren nach Anspruch 1 oder 2, bei dem, wenn das Reinigungsgas, das in ein Plasma umgewandelt worden ist, direkt in die Reaktionskammer (12) eingeleitet wird, das Reinigungsgas durch einen Seitenteil (12b) der Reaktionskammer eingeleitet wird.
  4. Reinigungsverfahren nach Anspruch 1, 2 oder 3, bei dem ein selektives Schalten zwischen der direkten Einleitung des Reinigungsgases, das in ein Plasma umgewandelt worden ist, in die Reaktionskammer (12) durch einen von demjenigen des Rohstoffgases separaten Pfad und der Einleitung des Reinigungsgases, das in ein Plasma umgewandelt worden ist, in die Reaktionskammer durch den Rohstoffgasversorgungspfad (26) ausgeführt wird.
  5. Reinigungsverfahren nach einem der Ansprüche 1 bis 4, bei dem die Fluor verbindung mindestens ein Element ist, das aus der Gruppe ausgewählt ist, die aus stickstoffhaltigen Fluorverbindungen, sauerstoffhaltigen Perfluorkohlenstoffen und Perfluorkohlenstoffen mit 1 bis 6 Kohlenstoffatomen besteht.
  6. Reinigungsverfahren nach einem der Ansprüche 1 bis 5, bei dem die Fluorverbindung ein Perfluorkohlenstoff mit 1 bis 6 Kohlenstoffatomen ist.
  7. Reinigungsverfahren nach einem der Ansprüche 1 bis 6, bei dem die CVD-Vorrichtung eine plasmaunterstützte CVD-Vorrichtung mit einer oberen Elektrode (20) und einer unteren Elektrode (18) ist, die beide im Innern einer Reaktionskammer (12) angeordnet sind, zwischen denen eine Hochfrequenzleistung aufgebracht wird, um dadurch ein Plasma zu erzeugen, so dass eine Ablagerungsschicht auf einer Oberfläche von Basismaterials (A) gebildet wird, das auf der unteren Elektrode im Innern der Reaktionskammer angeordnet ist.
  8. Reinigungsverfahren nach einem der Ansprüche 1 bis 7, bei dem das Reinigungsgas, das in ein Plasma umgewandelt worden ist, nur durch eine Rohrleitung und/oder ein Ventil in die Reaktionskammer eingeleitet wird.
  9. CVD-Vorrichtung mit einem Reinigungsgerät (11), wobei die CVD-Vorrichtung eine Plasma-CVD-Vorrichtung ist, bei der ein Rohstoffgas in eine Reaktionskammer (12) eingespeist wird, eine Ablagerungsschicht auf einer Oberfläche von Basismaterial (A) gebildet wird, das in der Reaktionskammer angeordnet ist, wobei das Reinigungsgerät umfasst: einen entfernt angeordneten Plasmagenerator (30), der ein fluoriertes Reinigungsgas, das eine Fluorverbindung enthält, in ein Plasma nach der Ablagerungsschichtbildung auf der Basismaterialoberfläche durch die Verwendung der Plasma-CVD-Vorrichtung umwandeln kann; und einen Reinigungsgaseinleitungspfad (32) zum Einleiten des Reinigungsgases, das mittels des entfernt angeordneten Plasmagenerators in ein Plasma umgewandelt worden ist, in die Reaktionskammer, dadurch gekennzeichnet, dass der Reinigungsgaseinleitungspfad das Reinigungsgas, das in ein Plasma umgewandelt worden ist, durch einen von demjenigen des Rohstoffgases separaten Pfad direkt in die Reaktionskammer einleitet, so dass Nebenprodukte, die an inneren Teilen der Reaktionskammer anhaften, durch das Reinigungsplasma entfernt werden, und das Reinigungsgerät weiter umfasst: einen zweiten Reinigungsgaseinleitungspfad (33) zum Einleiten von Reinigungsgas, das in ein Plasma umgewandelt worden ist, in die Reaktionskammer (12) durch einen Rohstoffgasversorgungspfad (26).
  10. Vorrichtung nach Anspruch 9, bei der sich, wenn das Reinigungsgas, das in ein Plasma umgewandelt worden ist, direkt in die Reaktionskammer (12) eingeleitet wird, ein Abstand zwischen dem entfernt angeordneten Plasmagenerator (30) und der Reaktionskammer im Bereich von 0 bis 200 cm befindet.
  11. Vorrichtung nach Anspruch 9 oder 10, bei der der Reinigungsgaseinleitungspfad (32) so konstruiert ist, dass, wenn das Reinigungsgas, das in ein Plasma umgewandelt worden ist, direkt in die Reaktionskammer (12) eingeleitet wird, das Reinigungsgas durch einen Seitenteil (12b) der Reaktionskammer eingeleitet wird.
  12. Reinigungsgerät (11) für eine CVD-Vorrichtung nach Anspruch 9, 10 oder 11, das weiter einen Schaltkontroller (40) umfasst, der ein selektives Schalten zwischen dem Reinigungsgaseinleitungspfad (32) und dem zweiten Reinigungsgaseinleitungspfad (33) ausführen kann.
  13. Vorrichtung nach einem der Ansprüche 9 bis 12, bei der die Fluorverbindung mindestens ein Element ist, das aus der Gruppe ausgewählt ist, die aus stickstoffhaltigen Fluorverbindungen, sauerstoffhaltigen Perfluorkohlenstoffen und Perfluorkohlenstoffen mit 1 bis 6 Kohlenstoffatomen besteht.
  14. Vorrichtung nach einem der Ansprüche 9 bis 13, bei der die Fluorverbindung ein Perfluorkohlenstoff mit 1 bis 6 Kohlenstoffatomen ist.
  15. Vorrichtung nach einem der Ansprüche 9 bis 14, bei der die CVD-Vorrichtung eine Plasma-CVD-Vorrichtung mit einer oberen Elektrode (20) und einer unteren Elektrode (18) ist, die beide im Innern einer Reaktionskammer (12) angeordnet sind, wobei die Reaktionskammer angepasst ist, um ein Plasma bei Aufbringung einer Hochfrequenzleistung zwischen der oberen Elektrode und der unteren Elektrode zu erzeugen, um dadurch eine Ablagerungsschicht auf einer Oberfläche von Basismaterial (A) zu bilden, das auf der unteren Elektrode im Innern der Reaktionskammer angeordnet ist.
DE60213536T 2001-03-22 2002-03-18 Verfahren zur reinigung von cvd-einrichtungen und reinigungsgerät dafür Expired - Lifetime DE60213536T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001082840A JP2002280376A (ja) 2001-03-22 2001-03-22 Cvd装置のクリーニング方法およびそのためのクリーニング装置
JP2001082840 2001-03-22
PCT/JP2002/002548 WO2002078073A1 (fr) 2001-03-22 2002-03-18 Procede de nettoyage d'un dispositif cvd et dispositif nettoyant afferent

Publications (2)

Publication Number Publication Date
DE60213536D1 DE60213536D1 (de) 2006-09-14
DE60213536T2 true DE60213536T2 (de) 2007-10-25

Family

ID=18938735

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60213536T Expired - Lifetime DE60213536T2 (de) 2001-03-22 2002-03-18 Verfahren zur reinigung von cvd-einrichtungen und reinigungsgerät dafür

Country Status (8)

Country Link
US (1) US6935351B2 (de)
EP (1) EP1304731B1 (de)
JP (1) JP2002280376A (de)
KR (1) KR100523069B1 (de)
AT (1) ATE335285T1 (de)
DE (1) DE60213536T2 (de)
TW (1) TW554418B (de)
WO (1) WO2002078073A1 (de)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4112198B2 (ja) * 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 クリーニングガス及びエッチングガス、並びにチャンバークリーニング方法及びエッチング方法
JP2003197615A (ja) * 2001-12-26 2003-07-11 Tokyo Electron Ltd プラズマ処理装置およびそのクリーニング方法
JP2003234299A (ja) * 2002-02-12 2003-08-22 Research Institute Of Innovative Technology For The Earth クリーニングガス及びエッチングガス
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
EP2401971B1 (de) * 2002-04-16 2017-03-15 Covidien LP Chirurgisches Klammergerät und Verfahren
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
US20040129223A1 (en) * 2002-12-24 2004-07-08 Park Jong Hyurk Apparatus and method for manufacturing silicon nanodot film for light emission
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP4320389B2 (ja) * 2003-02-28 2009-08-26 関東電化工業株式会社 Cvdチャンバーのクリーニング方法およびそれに用いるクリーニングガス
JP4385086B2 (ja) * 2003-03-14 2009-12-16 パナソニック株式会社 Cvd装置のクリーニング装置およびcvd装置のクリーニング方法
JP4264479B2 (ja) * 2003-03-14 2009-05-20 キヤノンアネルバ株式会社 Cvd装置のクリーニング方法
JP4374487B2 (ja) * 2003-06-06 2009-12-02 株式会社Sen イオン源装置およびそのクリーニング最適化方法
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
EP1733072A2 (de) * 2004-03-24 2006-12-20 Massachusetts Institute Of Technology Fernkammerverfahren zum entfernen von oberflächenablagerungen
US7581549B2 (en) 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
JP2006114780A (ja) * 2004-10-15 2006-04-27 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成装置及びプログラム
US20060144819A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US20060144820A1 (en) * 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
KR100712529B1 (ko) * 2005-09-02 2007-04-30 삼성전자주식회사 플라즈마 어플리케이터의 인시츄 세정 방법 및 그 세정방법을 채용한 플라즈마 어플리케이터
US20070107750A1 (en) * 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
TWI397115B (zh) * 2006-03-27 2013-05-21 Hitachi Int Electric Inc 半導體裝置的製造方法及基板處理裝置以及清潔方法
KR100765128B1 (ko) 2006-05-30 2007-10-11 주식회사 아토 Cvd 챔버의 세정 장치 및 방법
KR100819096B1 (ko) * 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
KR100855002B1 (ko) * 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
JP4933979B2 (ja) * 2007-08-10 2012-05-16 株式会社アルバック 成膜装置のクリーニング方法
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
WO2010008102A1 (en) * 2008-07-14 2010-01-21 Ips Ltd. Cleaning method of apparatus for depositing carbon containing film
JP2011228546A (ja) * 2010-04-21 2011-11-10 Mitsubishi Electric Corp プラズマcvd装置およびそのクリーニング方法
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
KR101577782B1 (ko) * 2014-05-29 2015-12-16 참엔지니어링(주) 기판 처리장치 및 이의 세정방법
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
CN106373868B (zh) * 2016-10-10 2020-03-10 昆山龙腾光电股份有限公司 一种阵列基板的制造方法
JP2019033236A (ja) 2017-08-10 2019-02-28 株式会社日本製鋼所 原子層成長装置並びに原子層成長装置を使用した成膜方法および原子層成長装置のクリーニング方法
US11557460B2 (en) * 2018-07-09 2023-01-17 Lam Research Corporation Radio frequency (RF) signal source supplying RF plasma generator and remote plasma generator
US10751765B2 (en) * 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
US11772137B2 (en) 2021-07-23 2023-10-03 Applied Materials, Inc. Reactive cleaning of substrate support
US20230307216A1 (en) * 2022-03-25 2023-09-28 Applied Materials, Inc. Enhanced chamber clean and recovery with dual flow path
CN115142127B (zh) * 2022-08-29 2022-11-18 一道新能源科技(泰州)有限公司 一种基于lpcvd的多晶硅成型炉

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3725358A1 (de) * 1987-07-30 1989-02-09 Telog Systems Gmbh Vorrichtung und verfahren zur oberflaechenbehandlung von materialien
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JPH07335563A (ja) 1994-06-10 1995-12-22 Mitsubishi Electric Corp プラズマcvd装置
EP0697467A1 (de) 1994-07-21 1996-02-21 Applied Materials, Inc. Verfahren und Vorrichtung zur Reinigung einer Beschichtungskammer
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
JP2001020076A (ja) 1999-07-06 2001-01-23 Hitachi Kokusai Electric Inc 反応室のクリーニング方法及び装置
US6255222B1 (en) 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
JP2002057106A (ja) 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置のクリーニング方法及び処理装置

Also Published As

Publication number Publication date
DE60213536D1 (de) 2006-09-14
WO2002078073A1 (fr) 2002-10-03
ATE335285T1 (de) 2006-08-15
JP2002280376A (ja) 2002-09-27
EP1304731B1 (de) 2006-08-02
US6935351B2 (en) 2005-08-30
EP1304731A4 (de) 2003-07-30
KR100523069B1 (ko) 2005-10-24
TW554418B (en) 2003-09-21
US20030079757A1 (en) 2003-05-01
EP1304731A1 (de) 2003-04-23
KR20030007668A (ko) 2003-01-23

Similar Documents

Publication Publication Date Title
DE60213536T2 (de) Verfahren zur reinigung von cvd-einrichtungen und reinigungsgerät dafür
DE69830776T2 (de) Gas zum Wegnehmen von Ablagerungen und ihre Verwendung
EP1929066B1 (de) Vorrichtung und verfahren zur kontinuierlichen gasphasenabscheidung unter atmosphärendruck und deren verwendung
DE112006003315T5 (de) Gaskopf und Dünnfilm-Herstellungsvorrichtung
DE3317349A1 (de) Vorrichtung und verfahren zur massenproduktion von filmen durch vakuumabscheidung
DE60114383T2 (de) Verfahren und vorrichtung zur plasmabeschichtung
DE3336064A1 (de) Verfahren und vorrichtung zur erzeugung einer schicht auf einem substrat
DE3644652A1 (de) Verfahren zur herstellung einer elektronischen vorrichtung mit einer vielschichtigen struktur und eine dadurch erhaltene elektronische vorrichtung
KR20040037162A (ko) 불소 가스에 의한 세정 기구를 구비하는 cvd 장치 및cvd 장치의 불소 가스에 의한 세정 방법
DE68921286T2 (de) Anlage zur plasmachemischen Gasphasenabscheidung.
DE10064041B4 (de) Verfahren zur Herstellung einer Kupferverdrahtung in einem Halbleiterbauelement
DE112010000869B4 (de) Plasmaverarbeitungsvorrichtung und Verfahren zum Bilden monokristallinen Siliziums
DE69029075T2 (de) Reinigungsverfahren zur Entfernung von Ablagerungen auf dem Träger in einer CVD-Anlage
DE112011102417T5 (de) Herstellung von polykristallinem Silizium
DE69924252T2 (de) Verfahren zur endpunktbestimmung eines reinigungsverfahrens unter verwendung einer drosselklappenstellung
DE19706763A1 (de) Verfahren zum Ätzen eines Metallfilmes
EP1601813B1 (de) Verfahren zur abscheidung von silizium
DE102009018700B4 (de) Beschichtungsanlage und Verfahren zum Beschichten
EP1261755B1 (de) Vorrichtung und verfahren zur plasmagestützten oberflächenbehandlung von substraten im vakuum
WO2004050936A2 (de) Verfahren zum reinigen einer prozesskammer
EP1187945B1 (de) Verfahren zur plasmaunterstützten reaktiven abscheidung von material
DE69013917T2 (de) Beschichtetes dielektrisches material für einen ozongenerator.
EP1210468B1 (de) Verfahren zum reinigen eines pvd- oder cvd-reaktors sowie von abgasleitungen desselben
WO2000039847A1 (de) Verfahren zum strukturieren eines substrats sowie vorrichtung zur durchführung eines derartigen verfahrens
DE19506579C2 (de) Verfahren zur Herstellung von TiN-Schichten und die mit diesem Verfahren hergestellte Schicht

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8381 Inventor (new situation)

Inventor name: SHIBATA, KOJI, KIZU-CHO,SOURAKU-GUN, KYOTO 619, JP

Inventor name: TSUJI, NAOTO, SOURAKU-GUN, KYOTO 619-0292, JP

Inventor name: MURATA, HITOSHI, SOURAKU-GUN, KYOTO 619-02, JP

Inventor name: WANI, ETSUO, SOURAKU-GUN, KYOTO 619-0292, JP

Inventor name: KOSANO, YOSHIHIDE, SOURAKU-GUN, KYOTO 619-0292, JP

8327 Change in the person/name/address of the patent owner

Owner name: CANON ANELVA CORP., FUCHU, TOKYO, JP

Owner name: DAIKIN INDUSTRIES, LTD., OSAKA, JP

Owner name: HITACHI KOKUSAI ELECTRIC INC., TOKIO/TOKYO, JP

Owner name: KANTO DENKA KOGYO CO., LTD., TOKIO/TOKYO, JP

Owner name: MITSUBISHI DENKI K.K., TOKYO, JP

Owner name: NEC ELECTRONICS CORP., KAWASAKI, KANAGAWA, JP

Owner name: PANASONIC CORP., KADOMA, OSAKA, JP

Owner name: RENESAS TECHNOLOGY CORP., TOKYO, JP

Owner name: SANYO ELECTRIC CO., LTD., MORIGUCHI, OSAKA, JP

Owner name: SONY CORP., TOKIO/TOKYO, JP

Owner name: TOKYO ELECTRON LTD., TOKIO/TOKYO, JP

Owner name: ULVAC, INC., CHIGASAKI, JP

8327 Change in the person/name/address of the patent owner

Owner name: CANON ANELVA CORP., FUCHU, TOKYO, JP

Owner name: DAIKIN INDUSTRIES, LTD., OSAKA, JP

Owner name: HITACHI KOKUSAI ELECTRIC INC., TOKIO/TOKYO, JP

Owner name: KANTO DENKA KOGYO CO., LTD., TOKIO/TOKYO, JP

Owner name: MITSUBISHI DENKI K.K., TOKYO, JP

Owner name: NEC ELECTRONICS CORP., KAWASAKI, KANAGAWA, JP

Owner name: PANASONIC CORP., KADOMA, OSAKA, JP

Owner name: RENESAS ELECTRONICS CORP., KAWASAKI-SHI, KANAG, JP

Owner name: SANYO ELECTRIC CO., LTD., MORIGUCHI, OSAKA, JP

Owner name: SONY CORP., TOKIO/TOKYO, JP

Owner name: TOKYO ELECTRON LTD., TOKYO, JP

Owner name: ULVAC, INC., CHIGASAKI, JP

R082 Change of representative

Ref document number: 1304731

Country of ref document: EP

Representative=s name: ABITZ & PARTNER, DE

R081 Change of applicant/patentee

Ref document number: 1304731

Country of ref document: EP

Owner name: SANYO ELECTRIC CO., LTD., JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: DAIKIN INDUSTRIES, LTD., JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: KANTO DENKA KOGYO CO., LTD., JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: TOKYO ELECTRON LTD., JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: RENESAS ELECTRONICS CORPORATION, JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: HITACHI KOKUSAI ELECTRIC INC., JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: CANON ANELVA CORP., JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: PANASONIC CORPORATION, JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: MITSUBISHI DENKI K.K., JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: SONY CORP., JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

Ref document number: 1304731

Country of ref document: EP

Owner name: ULVAC, INC., JP

Free format text: FORMER OWNER: CANON ANELVA CORP., DAIKIN INDUSTRIES, LTD., HITACHI KOKUSAI ELECTRIC INC., KANTO DENKA KOGYO CO., LTD., MITSUBISHI DENKI K.K., , , JP

Effective date: 20120828

R082 Change of representative

Ref document number: 1304731

Country of ref document: EP

Representative=s name: ABITZ & PARTNER, DE

Effective date: 20120828