DE602004029371D1 - Übergangsmetallegierungen zur verwendung als gateelektrode und diese legierungen enthaltende bauelemente - Google Patents

Übergangsmetallegierungen zur verwendung als gateelektrode und diese legierungen enthaltende bauelemente

Info

Publication number
DE602004029371D1
DE602004029371D1 DE602004029371T DE602004029371T DE602004029371D1 DE 602004029371 D1 DE602004029371 D1 DE 602004029371D1 DE 602004029371 T DE602004029371 T DE 602004029371T DE 602004029371 T DE602004029371 T DE 602004029371T DE 602004029371 D1 DE602004029371 D1 DE 602004029371D1
Authority
DE
Germany
Prior art keywords
alloys
transition metal
gate electrode
components containing
metal alloys
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE602004029371T
Other languages
English (en)
Inventor
Mark Doczy
Nathan Baxter
Robert Chau
Kari Harkonen
Teemu Lang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE602004029371D1 publication Critical patent/DE602004029371D1/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/56Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides
    • C04B35/5607Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides based on refractory metal carbides
    • C04B35/5611Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides based on refractory metal carbides based on titanium carbides
    • C04B35/5618Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides based on refractory metal carbides based on titanium carbides based on titanium aluminium carbides
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22CALLOYS
    • C22C14/00Alloys based on titanium
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22CALLOYS
    • C22C16/00Alloys based on zirconium
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22CALLOYS
    • C22C27/00Alloys based on rhenium or a refractory metal not mentioned in groups C22C14/00 or C22C16/00
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22CALLOYS
    • C22C32/00Non-ferrous alloys containing at least 5% by weight but less than 50% by weight of oxides, carbides, borides, nitrides, silicides or other metal compounds, e.g. oxynitrides, sulfides, whether added as such or formed in situ
    • C22C32/0084Non-ferrous alloys containing at least 5% by weight but less than 50% by weight of oxides, carbides, borides, nitrides, silicides or other metal compounds, e.g. oxynitrides, sulfides, whether added as such or formed in situ carbon or graphite as the main non-metallic constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/72Products characterised by the absence or the low content of specific components, e.g. alkali metal free alumina ceramics
    • C04B2235/722Nitrogen content
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/72Products characterised by the absence or the low content of specific components, e.g. alkali metal free alumina ceramics
    • C04B2235/723Oxygen content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Composite Materials (AREA)
  • Structural Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Conductive Materials (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
DE602004029371T 2003-08-15 2004-08-11 Übergangsmetallegierungen zur verwendung als gateelektrode und diese legierungen enthaltende bauelemente Active DE602004029371D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/641,848 US7030430B2 (en) 2003-08-15 2003-08-15 Transition metal alloys for use as a gate electrode and devices incorporating these alloys
PCT/US2004/025977 WO2005020329A1 (en) 2003-08-15 2004-08-11 Transition metal alloys for use as a gate electrode and devices incorporating these alloys

Publications (1)

Publication Number Publication Date
DE602004029371D1 true DE602004029371D1 (de) 2010-11-11

Family

ID=34136454

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602004029371T Active DE602004029371D1 (de) 2003-08-15 2004-08-11 Übergangsmetallegierungen zur verwendung als gateelektrode und diese legierungen enthaltende bauelemente

Country Status (8)

Country Link
US (4) US7030430B2 (de)
EP (1) EP1654767B1 (de)
JP (1) JP5160089B2 (de)
CN (1) CN1598026B (de)
AT (1) ATE483253T1 (de)
DE (1) DE602004029371D1 (de)
TW (1) TWI269439B (de)
WO (1) WO2005020329A1 (de)

Families Citing this family (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US7176483B2 (en) * 2002-08-12 2007-02-13 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
US6967131B2 (en) * 2003-10-29 2005-11-22 International Business Machines Corp. Field effect transistor with electroplated metal gate
US7183221B2 (en) * 2003-11-06 2007-02-27 Texas Instruments Incorporated Method of fabricating a semiconductor having dual gate electrodes using a composition-altered metal layer
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
KR100629267B1 (ko) * 2004-08-09 2006-09-29 삼성전자주식회사 듀얼-게이트 구조를 갖는 집적회로 소자 및 그 제조 방법
US7348814B2 (en) * 2004-08-24 2008-03-25 Macronix International Co., Ltd. Power-on reset circuit
US7611943B2 (en) * 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US7667277B2 (en) * 2005-01-13 2010-02-23 International Business Machines Corporation TiC as a thermally stable p-metal carbide on high k SiO2 gate stacks
JP4764030B2 (ja) * 2005-03-03 2011-08-31 株式会社東芝 半導体装置及びその製造方法
JP2006261235A (ja) * 2005-03-15 2006-09-28 Toshiba Corp 半導体装置
US7241691B2 (en) * 2005-03-28 2007-07-10 Freescale Semiconductor, Inc. Conducting metal oxide with additive as p-MOS device electrode
JP2007080995A (ja) * 2005-09-13 2007-03-29 Toshiba Corp 半導体装置
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US8053849B2 (en) 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
US7425497B2 (en) * 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US7445976B2 (en) * 2006-05-26 2008-11-04 Freescale Semiconductor, Inc. Method of forming a semiconductor device having an interlayer and structure therefor
US8076200B2 (en) * 2006-10-30 2011-12-13 Micron Technology, Inc. Charge trapping dielectric structures with variable band-gaps
JP4271230B2 (ja) 2006-12-06 2009-06-03 株式会社東芝 半導体装置
US7682891B2 (en) * 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US20090039441A1 (en) * 2007-08-10 2009-02-12 Hongfa Luna Mosfet with metal gate electrode
US20110204520A1 (en) * 2007-12-07 2011-08-25 National Institute For Materials Science Metal electrode and semiconductor element using the same
US7944006B2 (en) * 2008-01-15 2011-05-17 International Business Machines Corporation Metal gate electrode stabilization by alloying
KR101540077B1 (ko) * 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
JP2009267118A (ja) * 2008-04-25 2009-11-12 Toshiba Corp 半導体装置の製造方法および半導体装置
US8198685B2 (en) * 2008-12-23 2012-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with metal gate and methods for forming the same
US20110042759A1 (en) * 2009-08-21 2011-02-24 International Business Machines Corporation Switching device having a molybdenum oxynitride metal gate
KR101094375B1 (ko) * 2009-11-30 2011-12-15 주식회사 하이닉스반도체 탄소함유 전극을 갖는 반도체 장치 및 그 제조 방법
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
CN102339858B (zh) 2010-07-16 2013-09-04 中国科学院微电子研究所 p型半导体器件及其制造方法
US9166020B2 (en) 2011-03-01 2015-10-20 United Microelectronics Corp. Metal gate structure and manufacturing method thereof
US8642457B2 (en) 2011-03-03 2014-02-04 United Microelectronics Corp. Method of fabricating semiconductor device
US8329597B2 (en) 2011-03-07 2012-12-11 United Microelectronics Corp. Semiconductor process having dielectric layer including metal oxide and MOS transistor process
US8501634B2 (en) 2011-03-10 2013-08-06 United Microelectronics Corp. Method for fabricating gate structure
US8324118B2 (en) 2011-03-28 2012-12-04 United Microelectronics Corp. Manufacturing method of metal gate structure
US9384962B2 (en) 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
US8530980B2 (en) 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US9490342B2 (en) 2011-06-16 2016-11-08 United Microelectronics Corp. Method for fabricating semiconductor device
US8673758B2 (en) 2011-06-16 2014-03-18 United Microelectronics Corp. Structure of metal gate and fabrication method thereof
US8536038B2 (en) 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
US8486790B2 (en) 2011-07-18 2013-07-16 United Microelectronics Corp. Manufacturing method for metal gate
US8551876B2 (en) 2011-08-18 2013-10-08 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9637810B2 (en) 2011-09-30 2017-05-02 Intel Corporation Tungsten gates for non-planar transistors
EP3174106A1 (de) * 2011-09-30 2017-05-31 Intel Corporation Wolframgates für nichtplanare transistoren
EP2761664A4 (de) 2011-09-30 2015-06-17 Intel Corp Verschliessen von dielektrischen strukturen für transistor-gates
WO2013048524A1 (en) 2011-10-01 2013-04-04 Intel Corporation Source/drain contacts for non-planar transistors
US8633549B2 (en) 2011-10-06 2014-01-21 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US9087915B2 (en) 2011-12-06 2015-07-21 Intel Corporation Interlayer dielectric for non-planar transistors
US8691681B2 (en) 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US20130214364A1 (en) * 2012-02-16 2013-08-22 International Business Machines Corporation Replacement gate electrode with a tantalum alloy metal layer
US8860181B2 (en) 2012-03-07 2014-10-14 United Microelectronics Corp. Thin film resistor structure
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US9105623B2 (en) 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8975666B2 (en) 2012-08-22 2015-03-10 United Microelectronics Corp. MOS transistor and process thereof
US9012300B2 (en) 2012-10-01 2015-04-21 United Microelectronics Corp. Manufacturing method for a shallow trench isolation
US9054172B2 (en) 2012-12-05 2015-06-09 United Microelectrnics Corp. Semiconductor structure having contact plug and method of making the same
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US8735269B1 (en) 2013-01-15 2014-05-27 United Microelectronics Corp. Method for forming semiconductor structure having TiN layer
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
US9159798B2 (en) 2013-05-03 2015-10-13 United Microelectronics Corp. Replacement gate process and device manufactured using the same
US9196542B2 (en) 2013-05-22 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor devices
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
JP5759077B1 (ja) * 2013-08-07 2015-08-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置の製造方法、及び、半導体装置
CN104377124A (zh) * 2013-08-16 2015-02-25 中国科学院微电子研究所 半导体器件制造方法
CN104377168A (zh) * 2013-08-16 2015-02-25 中国科学院微电子研究所 半导体器件制造方法
US20150069534A1 (en) 2013-09-11 2015-03-12 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9105720B2 (en) 2013-09-11 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US9196546B2 (en) 2013-09-13 2015-11-24 United Microelectronics Corp. Metal gate transistor
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9231071B2 (en) 2014-02-24 2016-01-05 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9449887B2 (en) 2014-12-08 2016-09-20 Globalfoundries Inc. Method of forming replacement gate PFET having TiALCO layer for improved NBTI performance
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
WO2018094205A1 (en) 2016-11-18 2018-05-24 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US20180331198A1 (en) * 2017-05-15 2018-11-15 Qualcomm Incorporated Thin oxide zero threshold voltage (zvt) transistor fabrication
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
CN110499432B (zh) * 2019-09-27 2021-05-25 西安稀有金属材料研究院有限公司 一种可控网状碳化钛骨架结构钛基材料的制备方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US129793A (en) * 1872-07-23 Improvement in piston-packings
US129795A (en) * 1872-07-23 Improvement in safe-vaults
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
JPS6022057B2 (ja) * 1982-01-29 1985-05-30 三菱マテリアル株式会社 高温特性のすぐれた切削工具用焼結材料およびその製造法
JPS6023180B2 (ja) * 1982-03-01 1985-06-06 三菱マテリアル株式会社 高温特性のすぐれた切削工具用焼結材料およびその製造法
US4673968A (en) * 1985-07-02 1987-06-16 Siemens Aktiengesellschaft Integrated MOS transistors having a gate metallization composed of tantalum or niobium or their silicides
US5189504A (en) * 1989-12-11 1993-02-23 Nippon Telegraph And Telephone Corporation Semiconductor device of MOS structure having p-type gate electrode
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
WO1995015002A1 (fr) * 1993-11-24 1995-06-01 Tdk Corporation Element source d'electrons de cathode froide et son procede de production
EP0750050A4 (de) * 1993-12-22 1997-09-24 Toshiba Kk Wasserstoffabsorbierende legierung und alkalische sekundärzelle diese verwendend
JPH0820871A (ja) * 1994-07-08 1996-01-23 Toshiba Tungaloy Co Ltd 耐摩耗性被覆部材
JPH08153804A (ja) * 1994-09-28 1996-06-11 Sony Corp ゲート電極の形成方法
JPH08255907A (ja) * 1995-01-18 1996-10-01 Canon Inc 絶縁ゲート型トランジスタ及びその製造方法
US5817547A (en) * 1995-02-27 1998-10-06 Hyundai Electronics Industries Co., Ltd. Method for fabricating a metal oxide semiconductor field effect transistor having a multi-layered gate electrode
KR0147626B1 (ko) * 1995-03-30 1998-11-02 김광호 타이타늄 카본 나이트라이드 게이트전극 형성방법
EP0855451A4 (de) * 1995-10-12 1999-10-06 Toshiba Kk Drahtfilm, sputtertarget zur herstellung des drahtfilms und elektronische teile, die diesen verwenden
FR2766855B1 (fr) * 1997-07-29 1999-10-08 Jean Luc Sandoz Procede de mise en place contre un support d'un revetement constitue de planches, lattes ou materiaux similaires et nouveau type de revetement obtenu par la mise en oeuvre de ce procede
US6156630A (en) * 1997-08-22 2000-12-05 Micron Technology, Inc. Titanium boride gate electrode and interconnect and methods regarding same
US6261887B1 (en) 1997-08-28 2001-07-17 Texas Instruments Incorporated Transistors with independently formed gate structures and method
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6130123A (en) * 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
KR100329769B1 (ko) * 1998-12-22 2002-07-18 박종섭 티타늄폴리사이드게이트전극형성방법
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6255698B1 (en) * 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
US6313033B1 (en) * 1999-07-27 2001-11-06 Applied Materials, Inc. Ionized metal plasma Ta, TaNx, W, and WNx liners for gate electrode applications
JP2001068670A (ja) * 1999-08-30 2001-03-16 Nec Corp 半導体装置の製造方法
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
JP2002198441A (ja) * 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6365450B1 (en) * 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
US6518154B1 (en) * 2001-03-21 2003-02-11 Advanced Micro Devices, Inc. Method of forming semiconductor devices with differently composed metal-based gate electrodes
DE10136400B4 (de) * 2001-07-26 2006-01-05 Infineon Technologies Ag Verfahren zur Herstellung einer Metallkarbidschicht und Verfahren zur Herstellung eines Grabenkondensators
US6696345B2 (en) 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6858483B2 (en) 2002-12-20 2005-02-22 Intel Corporation Integrating n-type and p-type metal gate transistors
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films

Also Published As

Publication number Publication date
JP2007502367A (ja) 2007-02-08
CN1598026A (zh) 2005-03-23
TWI269439B (en) 2006-12-21
EP1654767A1 (de) 2006-05-10
US7030430B2 (en) 2006-04-18
TW200511573A (en) 2005-03-16
US20110097858A1 (en) 2011-04-28
EP1654767B1 (de) 2010-09-29
US20050280050A1 (en) 2005-12-22
WO2005020329A1 (en) 2005-03-03
US20070096163A1 (en) 2007-05-03
JP5160089B2 (ja) 2013-03-13
CN1598026B (zh) 2012-09-05
ATE483253T1 (de) 2010-10-15
US7193253B2 (en) 2007-03-20
US20050037557A1 (en) 2005-02-17

Similar Documents

Publication Publication Date Title
DE602004029371D1 (de) Übergangsmetallegierungen zur verwendung als gateelektrode und diese legierungen enthaltende bauelemente
TW200802852A (en) Gate electrode structures and methods of manufacture
ATE526991T1 (de) Zusammensetzungen und ihre verwendungen für die gentherapeutische behandlung von knochenerkrankungen
DE60310576D1 (en) 2-hydroxy-6-phenylphenanthridine als pde-4-hemmer
EP1687457A4 (de) Metallcarbid-gatestruktur und herstellungsverfahren
TW200625631A (en) A semiconductor device with a high-k gate dielectric and a metal gate electrode
NO20040106L (no) Forbedringer i og relatert til loddinger
TWI255955B (en) Composition and process for the manufacture of an improved electrophoretic display
ATE371691T1 (de) Silsesquioxanharzwachs
ATE507822T1 (de) Stabilisierte zusammensetzungen von faktor-vii- polypeptiden
SG137856A1 (en) A material architecture for the fabrication of low temperature transistor
CY1114293T1 (el) Συνθεσεις με κυκλοπροπενια και μεσα συμπλοκοποιησης μεταλλου
ATE488847T1 (de) Kontaktelement
BR0311762A (pt) Beneficiador de cetano orgânico
TR201908551T4 (tr) Yakıt bileşimleri.
TR200002940T2 (tr) Parazitlerin sebep olduğu hastalıkların papain süperfamilyasından sistein proteazların inhibisyonu yoluyla tedavisi
CR20130666A (es) Composición de emulsión estable (divisional expediente 9495)
PT1560812E (pt) Compostos de aminociclohexil eter e suas utilizacoes
TW200717880A (en) Opto-electronic semiconductor component with current expansion layer
GB2438104A (en) Output buffer with slew rate control utilizing an inverse process dependent current reference
NO20044898L (no) Nye etonogestrel estere
SG156664A1 (en) Metal ion-containing cmp composition and method for using the same
EP1869468A4 (de) Kolloidale metallkonjugate
DK1218385T3 (da) Carbonmonoxidkilde til fremstilling af overgangsmetalcarbonylkomplekser
SE0302611D0 (sv) Alloy for high temperature use