DE112012005734T5 - Lithographieprozess mit doppelter Hartmaske - Google Patents

Lithographieprozess mit doppelter Hartmaske Download PDF

Info

Publication number
DE112012005734T5
DE112012005734T5 DE201211005734 DE112012005734T DE112012005734T5 DE 112012005734 T5 DE112012005734 T5 DE 112012005734T5 DE 201211005734 DE201211005734 DE 201211005734 DE 112012005734 T DE112012005734 T DE 112012005734T DE 112012005734 T5 DE112012005734 T5 DE 112012005734T5
Authority
DE
Germany
Prior art keywords
layer
pattern
metallic
hard mask
lithographic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE201211005734
Other languages
English (en)
Other versions
DE112012005734B4 (de
Inventor
c/o IBM Corporation Arnold John C.
c/o IBM Corporation Burns Sean D.
c/o IBM Corporation Holmes Steven J
c/o IBM Corporation Horak David V.
c/o IBM Corporation Sankarapandian Muthumanickam
c/o IBM Corporation Yin Yunpeng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112012005734T5 publication Critical patent/DE112012005734T5/de
Application granted granted Critical
Publication of DE112012005734B4 publication Critical patent/DE112012005734B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Eine erste metallische Hartmaskenschicht über einer dielektrischen Zwischenverbindungsebenen-Schicht wird mit einem Leitungsmuster strukturiert. Oberhalb der ersten metallischen Hartmaskenschicht wird wenigstens eine Schicht aus einem dielektrischen Material, eine zweite metallische Hartmaskenschicht, eine erste organische Planarisierungsschicht (OPL) sowie ein erstes Photoresist angebracht. Ein erstes Durchkontakt-Muster wird von der ersten Photoresistschicht in die zweite metallische Hartmaskenschicht hinein transferiert. Eine zweite OPL und ein zweites Photoresist werden angebracht und mit einem zweiten Durchkontakt-Muster strukturiert, das in die zweite metallische Hartmaskenschicht hinein transferiert wird. Ein erstes Kombinationsmuster aus dem ersten und dem zweiten Durchkontaktmuster wird in die wenigstens eine Schicht aus einem dielektrischen Material transferiert. Ein zweites Kombinationsmuster, welches das erste Kombinationsmuster mit den Gebieten der Öffnungen in der ersten metallischen Hartmaskenschicht begrenzt, wird in die dielektrische Zwischenverbindungsebenen-Schicht hinein transferiert.

Description

  • VERWEIS AUF EINE VERWANDTE ANMELDUNG
  • Diese Anmeldung beansprucht den Nutzen der US-Patentanmeldung mit der Seriennummer 13/402068 mit dem Titel ”DUAL HARD MASK LITHOGRAPHY PROCESS”, die am 22. Februar 2010 eingereicht wurde, deren Inhalt durch Verweis in seiner Gesamtheit hierin aufgenommen ist.
  • HINTERGRUND
  • Die vorliegende Offenbarung bezieht sich auf Verfahren zum Bilden von lithographischen Mustern und im Besonderen auf Verfahren zum Bilden von lithographischen Mustern, bei denen metallische Doppelschicht-Hartmasken eingesetzt werden, sowie auf Strukturen, um dieselben zustande zu bringen.
  • Eine Lithographie mit einer einzelnen Belichtung, die eine Lichtquelle mit einer Wellenlänge von 193 nm einsetzt, nähert sich beim Drucken von Elementen mit einem Rastermaß von weniger als 80 nm ihrer Grenze. Um Elemente mit einem Rastermaß von weniger als 80 nm zu drucken, wurden daher Verfahren mit einer zweifachen Belichtung vorgeschlagen.
  • Durchkontakt-Verfahren mit einer zweifachen Belichtung, wie sie auf dem Fachgebiet bekannt sind, setzen eine organische Planarisierungsschicht (OPL, Organic Planarization Layer) ein, um sich zwei lithographische Muster einzuprägen, die durch zwei unterschiedliche Kombinationen von lithographischer Belichtung und Entwicklung und einem Ätzvorgang zum Transfer des Musters in die organische Planarisierungsschicht hinein transferiert werden. Während der zweiten Muster-Dreifachschicht-Lithographie wird das erste Muster, das sich in der unteren OPL eingeprägt hat, mit der oberen OPL-Schicht gefüllt, und folglich muss das erste Muster während des Transfers des zweiten Musters in die untere OPL hinein erneut geöffnet werden. Da die OPL-Dicke, die zu entfernen ist, in den ersten Mustern sowohl die untere OPL als auch die obere OPL beinhaltet, muss die OPL-Ätzzeit in den ersten Mustern während des Transfers des zweiten Musters in die untere OPL hinein 100% länger als in dem zweiten Muster sein. Aus diesem Grund ist die Wiedergabetreue des Muster-Transfers einem Kompromiss bezüglich des Musters unterworfen, das zuerst transferiert wird. Im Einzelnen tendieren die Durchkontaktöffnungen, die aus dem zweiten Muster erlangt werden, dazu, während des Transfers des ersten Musters in die organische Planarisierungsschicht hinein breiter zu werden und Unregelmäßigkeiten zu entwickeln. Daher besteht der Wunsch nach einem Verfahren, zwei Muster mit einer hohen Wiedergabetreue zu transferieren, um ein Kombinationsmuster zu bilden, das sublithographische Elemente beinhaltet.
  • KURZDARSTELLUNG
  • Eine erste metallische Hartmaskenschicht wird über einer dielektrischen Zwischenverbindungsebenen-Schicht abgeschieden und mit einem Leitungsmuster strukturiert. Wenigstens eine Schicht aus einem dielektrischen Material, eine zweite metallische Hartmaskenschicht, eine erste organische Planarisierungsschicht sowie ein erstes Photoresist werden über der ersten metallischen Hartmaskenschicht angebracht. Das erste Photoresist wird mit einem ersten Durchkontaktmuster strukturiert, das in die zweite metallische Hartmaskenschicht hinein transferiert wird, wobei die obere dielektrische Schicht in der wenigstens einen dielektrischen Schicht als die Ätzstoppschicht dient. Nach einem Entfernen der ersten organischen Planarisierungsschicht werden eine zweite organische Planarisierungsschicht und ein zweites Photoresist angebracht. Das zweite Photoresist wird mit einem zweiten Durchkontaktmuster strukturiert, das in die zweite metallische Hartmaskenschicht hinein transferiert wird. Die zweite metallische Hartmaskenschicht beinhaltet ein erstes Kombinationsmuster aus dem ersten und dem zweiten Durchkontaktmuster, das in wenigstens eine Schicht aus einem dielektrischen Material hinein transferiert wird, wobei die zweite metallische Schicht als die Ätzmaskenschicht verwendet wird. Ein zweites Kombinationsmuster, welches das erste Kombinationsmuster mit den Gebieten der Öffnungen in der ersten metallischen Hartmaskenschicht begrenzt, wird in die dielektrische Zwischenverbindungsebenen-Schicht transferiert, um darin ein Kombinations-Durchkontaktmuster zu bilden. Das Leitungsmuster kann nachfolgend in den oberen Anteil der dielektrischen Zwischenverbindungsebenen-Schicht hinein transferiert werden.
  • Gemäß einem Aspekt der vorliegenden Offenbarung beinhaltet ein Verfahren zum Bilden einer Struktur: Bilden eines Stapels, der wenigstens eine Schicht aus einem dielektrischen Material und eine erste metallische Hartmaskenschicht beinhaltet; Strukturieren der ersten metallischen Hartmaskenschicht mit wenigstens einem Leitungsmuster; Bilden von wenigstens einer zweiten metallischen Hartmaskenschicht über der strukturierten ersten metallischen Hartmaskenschicht; Bilden eines ersten Kombinationsmusters, das ein erstes lithographisches Muster und ein zweites lithographisches Muster lediglich in der zweiten metallischen Hartmaskenschicht beinhaltet, wobei das erste lithographische Muster und das zweite lithographische Muster mittels unterschiedlicher Ätzprozesse in die zweite metallische Hartmaskenschicht hinein transferiert werden; sowie Transferieren eines zweiten Kombinationsmusters, das einen Schnitt des ersten Kombinationsmusters und des wenigstens einen Leitungsmusters beinhaltet, in die Schicht aus einem dielektrischen Material hinein.
  • Gemäß einem weiteren Aspekt der vorliegenden Offenbarung beinhaltet ein Verfahren zum Bilden einer Struktur: Bilden eines Stapels, der wenigstens eine Schicht aus einem dielektrischen Material und eine erste metallische Hartmaskenschicht beinhaltet; Strukturieren der ersten metallischen Hartmaskenschicht mit wenigstens einem Leitungsmuster; Bilden von wenigstens einer zweiten metallischen Hartmaskenschicht und einer ersten Photoresistschicht über der strukturierten ersten metallischen Hartmaskenschicht; Bilden eines ersten lithographischen Musters in der ersten Photoresistschicht und Transferieren des ersten lithographischen Musters mittels eines ersten Ätzvorgangs in die zweite metallische Hartmaskenschicht hinein; Bilden einer zweiten Photoresistschicht über der zweiten metallischen Hartmaskenschicht; Strukturieren eines zweiten lithographischen Musters in der zweiten Photoresistschicht und Transferieren des zweiten lithographischen Musters mittels eines zweiten Ätzvorgangs in die zweite metallische Hartmaskenschicht hinein, wobei ein erstes Kombinationsmuster, welches das erste lithographische Muster und das zweite lithographische Muster beinhaltet, in der zweiten metallischen Hartmaskenschicht gebildet wird; sowie Transferieren eines zweiten Kombinationsmusters, das einen Schnitt des ersten Kombinationsmusters und des wenigstens einen Leitungsmusters beinhaltet, in die Schicht aus einem dielektrischen Material hinein.
  • Gemäß noch einem weiteren Aspekt der vorliegenden Offenbarung beinhaltet ein Verfahren zum Bilden einer Struktur: Bilden eines Stapels, der wenigstens eine Schicht aus einem dielektrischen Material und eine erste metallische Hartmaskenschicht beinhaltet; Strukturieren der ersten metallischen Hartmaskenschicht mit wenigstens einem Leitungsmuster; Bilden von wenigstens einer weiteren Schicht aus einem dielektrischen Material, einer zweiten metallischen Hartmaskenschicht sowie einer ersten Photoresistschicht über der strukturierten ersten metallischen Hartmaskenschicht; Bilden eines ersten lithographischen Musters in der ersten Photoresistschicht und Transferieren des ersten lithographischen Musters mittels eines ersten Ätzvorgangs in die zweite metallische Hartmaskenschicht hinein; Bilden einer zweiten Photoresistschicht über der zweiten metallischen Hartmaskenschicht; Strukturieren eines zweiten lithographischen Musters in der zweiten Photoresistschicht und Transferieren des zweiten lithographischen Musters mittels eines zweiten Ätzvorgangs in die zweite metallische Hartmaskenschicht hinein, wobei ein erstes Kombinationsmuster, welches das erste lithographische Muster und das zweite lithographische Muster beinhaltet, in der zweiten metallischen Hartmaskenschicht gebildet wird; Transferieren des ersten Kombinationsmusters durch die wenigstens eine weitere Schicht aus einem dielektrischen Material hindurch; sowie Transferieren des zweiten Kombinationsmusters, das einen Schnitt des ersten Kombinationsmusters und des wenigstens einen Leitungsmusters beinhaltet, in die Schicht aus einem dielektrischen Material hinein.
  • Gemäß sogar einem weiteren Aspekt der vorliegenden Offenbarung wird eine lithographische Struktur bereitgestellt, die beinhaltet: eine Schicht aus einem dielektrischen Material, die sich auf einem Substrat befindet; eine erste metallische Hartmaskenschicht, die wenigstens ein Leitungsmuster beinhaltet und sich über der Schicht aus einem dielektrischen Material befindet; wenigstens eine weitere Schicht aus einem dielektrischen Material, die sich auf der ersten metallischen Hartmaskenschicht befindet; eine zweite metallische Hartmaskenschicht, die sich auf der wenigstens einen weiteren Schicht aus einem dielektrischen Material befindet und wenigstens einen Satz von wenigstens einer Öffnung beinhaltet, der einem ersten lithographischen Muster entspricht; sowie eine organische Planarisierungsschicht, die sich auf der zweiten metallischen Hartmaskenschicht befindet und wenigstens einen Graben beinhaltet, der einem zweiten lithographischen Muster entspricht, das sich von dem ersten lithographischen Muster unterscheidet.
  • Gemäß noch einem weiteren Aspekt der vorliegenden Offenbarung beinhaltet eine lithographische Struktur: eine Schicht aus einem dielektrischen Material, die sich auf einem Substrat befindet; eine erste metallische Hartmaskenschicht, die wenigstens ein Leitungsmuster beinhaltet und die sich über der Schicht aus einem dielektrischen Material befindet; wenigstens eine weitere Schicht aus einem dielektrischen Material, die sich auf der ersten metallischen Hartmaskenschicht befindet; eine zweite metallische Hartmaskenschicht, die sich auf der wenigstens einen weiteren Schicht aus einem dielektrischen Material befindet und die wenigstens einen Satz von wenigstens einer Öffnung beinhaltet, der einem ersten lithographischen Muster entspricht; eine organische Planarisierungsschicht, die sich auf der zweiten metallischen Hartmaskenschicht befindet; sowie eine Antireflexbeschichtung (ARC), die sich auf der organischen Planarisierungsschicht befindet und die wenigstens einen Graben beinhaltet, der einem zweiten lithographischen Muster entspricht, das sich von dem ersten lithographischen Muster unterscheidet.
  • KURZBESCHREIBUNG DER MEHREREN ANSICHTEN DER ZEICHNUNGEN
  • 1A ist eine Draufsicht auf eine erste exemplarische Struktur nach einer Bildung und einer Strukturierung einer ersten metallischen Hartmaskenschicht gemäß einer ersten Ausführungsform der vorliegenden Offenbarung.
  • 1B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 1A.
  • 2A ist eine Draufsicht auf die erste exemplarische Struktur nach einer Bildung eines Stapels aus einer unteren Planarisierungsschicht und einer oberen dielektrischen Schicht, einer zweiten metallischen Hartmaskenschicht, einer ersten organischen Planarisierungsschicht (OPL), einer ersten Antireflexbeschichtungs(ARC)-Schicht sowie einer ersten Photoresistschicht und einem lithographischen Strukturieren der ersten Photoresistschicht mit einem ersten lithographischen Muster gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 2B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 2A.
  • 3A ist eine Draufsicht auf die erste exemplarische Struktur nach einem Transfer des ersten lithographischen Musters durch die erste ARC-Schicht und die erste OPL hindurch gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 3B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 3A.
  • 4A ist eine Draufsicht auf die erste exemplarische Struktur nach einem Transfer des ersten lithographischen Musters in die zweite metallische Hartmaskenschicht hinein gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 4B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 4B.
  • 5A ist eine Draufsicht auf die erste exemplarische Struktur nach einer Entfernung der ersten OPL gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 5B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 5A.
  • 6A ist eine Draufsicht auf die erste exemplarische Struktur nach der Bildung einer zweiten OPL, einer zweiten ARC-Schicht sowie einer zweiten Photoresistschicht und einem lithographischen Strukturieren der zweiten Photoresistschicht mit einem zweiten lithographischen Muster gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 6B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 6A.
  • 7A ist eine Draufsicht auf die erste exemplarische Struktur nach einem Transfer des zweiten lithographischen Musters durch die zweite ARC-Schicht und die zweite OPL hindurch gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 7B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 7A.
  • 8A ist eine Draufsicht auf die erste exemplarische Struktur nach einem Transfer des zweiten lithographischen Musters in die zweite metallische Hartmaskenschicht hinein gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 8B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 8B.
  • 9A ist eine Draufsicht auf die erste exemplarische Struktur nach einer Entfernung der zweiten OPL gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 9B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 9A.
  • 10A ist eine Draufsicht auf die erste exemplarische Struktur nach einem Transfer eines ersten Kombinationsmusters in der zweiten metallischen Hartmaskenschicht durch die obere dielektrische Schicht hindurch gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 10B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 10A.
  • 11A ist eine Draufsicht auf die erste exemplarische Struktur nach einer Entfernung der zweiten metallischen Hartmaskenschicht gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 11B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 11A.
  • 12A ist eine Draufsicht auf die erste exemplarische Struktur nach einem Transfer eines zweiten Kombinationsmusters, das ein Schnitt des ersten Kombinationsmusters und des Musters in der ersten metallischen Hartmaskenschicht ist, in eine Zwischenverbindungsebenen-Schicht aus einem dielektrischen Material hinein gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 12B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 12A.
  • 13A ist eine Draufsicht auf die erste exemplarische Struktur nach einer Entfernung der zweiten metallischen Hartmaskenschicht, der oberen dielektrischen Schicht sowie der unteren Planarisierungsschicht gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 13B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 13A.
  • 14A ist eine Draufsicht auf die erste exemplarische Struktur nach einem Transfer des Musters in der ersten metallischen Hartmaskenschicht in einen oberen Anteil der Zwischenverbindungsebenen-Schicht aus einem dielektrischen Material hinein gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 14B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 14A.
  • 15A ist eine Draufsicht auf die erste exemplarische Struktur nach der Bildung von Doppel-Damaszener-Leitungs-Durchkontaktstrukturen innerhalb der Zwischenverbindungsebenen-Schicht aus einem dielektrischen Material gemäß der ersten Ausführungsform der vorliegenden Offenbarung.
  • 15B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der ersten exemplarischen Struktur von 15B.
  • 16A ist eine Draufsicht auf eine zweite exemplarische Struktur gemäß einer zweiten Ausführungsform der vorliegenden Offenbarung.
  • 16B ist eine vertikale Querschnittansicht entlang der Ebene B-B' der zweiten exemplarischen Struktur von 16A.
  • DETAILLIERTE BESCHREIBUNG
  • Wie vorstehend angegeben, bezieht sich die vorliegende Offenbarung auf Verfahren zum Bilden von lithographischen Muster, die Doppelschicht-Hartmasken einsetzen, sowie auf Strukturen, um dieselben zustande zu bringen, die nunmehr mit begleitenden Figuren detailliert beschrieben werden. Es ist zu erwähnen, dass gleiche und entsprechende Elemente mit gleichen Bezugszeichen bezeichnet werden. Die Zeichnungen sind nicht maßstabsgetreu.
  • Bezugnehmend auf die 1A und 1B beinhaltet eine erste exemplarische Struktur gemäß einer ersten Ausführungsform der vorliegenden Offenbarung einen Stapel von unten nach oben aus einem Substrat 10, einer Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material, einer dielektrischen Hartmaskenschicht 30 sowie einer ersten metallischen Hartmaskenschicht 40. Das Substrat 10 kann ein Halbleitersubstrat sein, das wenigstens eine Halbleitereinheit (nicht gezeigt), wie beispielsweise einen Feldeffekttransistor, einen Übergangstransistor, eine Diode, einen Kondensator, einen Induktor, einen Widerstand, eine optoelektronische Einheit oder irgendwelche anderen Halbleitereinheiten beinhaltet, die auf dem Fachgebiet bekannt sind. Das Substrat 10 kann optional wenigstens eine Metall-Zwischenverbindungsstruktur beinhalten, die Metallleitungen und/oder Metall-Durchkontakte beinhaltet, die in wenigstens einer darunter liegenden Zwischenverbindungsebenen-Schicht aus einem dielektrischen Material eingebettet sind (nicht explizit gezeigt).
  • Die Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material kann eine unstrukturierte Schicht aus einem dielektrischen Material sein und kann jegliches dielektrische Material beinhalten, das Metall-Zwischenverbindungsstrukturen einbetten kann. Zum Beispiel kann die Zwischenverbindungsebenen-Schicht aus einem dielektrischen Material Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, poröses oder nicht poröses Organosilicat-Glas, ein poröses oder nicht poröses, durch Rotationsbeschichtung aufgebrachtes dielektrisches Material beinhalten. Die Dicke der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material kann von 50 nm bis 1.000 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können. Die Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material kann mittels chemischer Gasphasenabscheidung (CVD) oder Rotationsbeschichtung gebildet werden.
  • Die dielektrische Hartmaskenschicht 30 ist eine optionale Schicht. Die dielektrische Hartmaskenschicht 30 kann weggelassen werden, wenn die Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material eine ausreichende mechanische Beanspruchbarkeit aufweist, um einem Planarisierungsprozess standzuhalten. Wenn zum Beispiel ein oberer Anteil der Zwischenverbindungsebenen-Schicht 20 der dielektrischen Maske Siliciumoxid, Siliciumnitrid oder Siliciumoxynitrid beinhaltet, kann die dielektrische Hartmaskenschicht 30 weggelassen werden. Die dielektrische Hartmaskenschicht 30 kann ein Material beinhalten, das aus Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, einem dielektrischen Metalloxid oder einer Kombination derselben ausgewählt ist. Die dielektrische Hartmaskenschicht 30 kann zum Beispiel mittels chemischer Gasphasenabscheidung, atomarer Schichtabscheidung und/oder physikalischer Gasphasenabscheidung gebildet werden. Die Dicke der dielektrischen Hartmaskenschicht 30 kann von 5 nm bis 50 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Die erste metallische Hartmaskenschicht 40 beinhaltet wenigstens ein metallisches Material und beinhaltet optional ein organisches metallisches Material. Die erste metallische Hartmaskenschicht 40 beinhaltet ein Material, das eine Ätzselektivität bezüglich der Materialien der dielektrischen Hartmaskenschicht 30 und der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material bereitstellt.
  • In einer Ausführungsform besteht die erste metallische Hartmaskenschicht 40 aus wenigstens einem metallischen Material. Die erste metallische Hartmaskenschicht 40 kann zum Beispiel eines oder mehrere von TiN, TaN, WN, Ti, Ta und W beinhalten.
  • In einer weiteren Ausführungsform beinhaltet die erste metallische Hartmaskenschicht 40 wenigstens ein metallisches Material und ein organisches Material, wie beispielweise ein Polymer. Das wenigstens eine metallische Material kann eines oder mehrere von Ta, Ti, W, TaN, TiN und WN beinhalten. Das wenigstens eine metallische Material kann innerhalb einer Matrix aus dem organischen Material verteilt sein. Die erste metallische Hartmaskenschicht 40 kann zum Beispiel ein metall-organisches Antireflexbeschichtungs(ARC)-Material beinhalten, wie beispielsweise ein ARC-Material, das Ti beinhaltet.
  • Die erste metallische Hartmaskenschicht 40 kann mittels chemischer Gasphasenabscheidung (CVD), physikalischer Gasphasenabscheidung (PVD) oder Rotationsbeschichtung gebildet werden. Die Dicke der ersten metallischen Hartmaskenschicht 40 kann von 5 nm bis 50 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Die erste metallische Hartmaskenschicht 40 wird im Anschluss mit wenigstens einem Leitungsmuster strukturiert. Wie hierin verwendet, bezieht sich ein Leitungsmuster auf ein Muster, das wenigstens ein Paar von parallelen Leitungskanten beinhaltet, die durch eine Öffnung dazwischen getrennt sind. Das wenigstens eine Leitungsmuster kann mittels eines einzelnen lithographischen Prozesses, der eine Anbringung eines Photoresists, eine lithographische Belichtung sowie eine Entwicklung des Photoresists mit einem lithographischen Muster beinhaltet, und eines Transfers des lithographischen Musters von dem Photoresist in die erste metallische Hartmaskenschicht 40 hinein sowie einer Entfernung des Photoresists gebildet werden.
  • Alternativ kann das wenigstens eine Leitungsmuster mittels einer Vielzahl von lithographischen Prozessen gebildet werden. Jeder der Vielzahl von lithographischen Prozessen beinhaltet eine Anbringung eines Photoresists, eine lithographische Belichtung und Entwicklung des Photoresists mit einem lithographischen Muster und einen Transfer des lithographischen Musters von dem Photoresist in die erste metallische Hartmaskenschicht 40 hinein sowie eine Entfernung des Photoresists. Jedes lithographische Muster unter der Vielzahl von lithographischen Prozessen kann unterschiedlich sein. In einer Ausführungsform kann das wenigstens eine Leitungsmuster in der ersten metallischen Hartmaskenschicht 40 einen sublithographischen Abstand aufweisen, wenn eine Vielzahl von lithographischen Prozessen eingesetzt wird, um das wenigstens eine Leitungsmuster zu bilden. Wie hierin verwendet, bezieht sich ein sublithographisches Element auf ein Element mit einer Abmessung, die kleiner als die Abmessung eines minimalen Elements ist, das durch einen einzelnen lithographischen Belichtungsprozess gedruckt werden kann. Ab dem Jahr 2012 beträgt das minimale Rastermaß, das mittels eines einzelnen lithographischen Belichtungsprozesses gedruckt werden kann, etwa 80 nm.
  • Bezugnehmend auf die 2A und 2B werden wenigstens eine Schicht (50, 60) aus einem dielektrischen Material, eine zweite metallische Hartmaskenschicht 70, eine erste organische Planarisierungsschicht (OPL) 80, eine erste Antireflexbeschichtungs(ARC)-Schicht sowie eine erste Photoresistschicht 97 sequentiell abgeschieden.
  • Die wenigstens eine Schicht (50, 60) aus einem dielektrischen Material kann ein Stapel aus einer Vielzahl von Schichten aus dielektrischen Materialien oder eine einzelne Schicht aus einem dielektrischen Material oder eine Kombination aus einer organischen Planarisierungsschicht und einer Schicht aus einem dielektrischen Material sein. Die wenigstens eine Schicht (50, 60) aus einem dielektrischen Material kann zum Beispiel ein Stapel aus einer unteren Planarisierungsschicht 50 und einer oberen dielektrischen Schicht 60 sein.
  • In einer Ausführungsform beinhaltet die untere Planarisierungsschicht 50 wenigstens eines von einem selbst-planarisierenden organischen Planarisierungsmaterial oder amorphem Kohlenstoff. Das selbst-planarisierende organische Planarisierungsmaterial kann eine Polymerschicht mit einer geringen Viskosität derart sein, dass das Material der unteren Planarisierungsschicht 50 fließt, um vertiefte Bereiche, d. h. die Gräben, die innerhalb der ersten metallischen Hartmaskenschicht 40 ausgebildet sind, bei Anbringung oder Abscheidung zu füllen. Das selbst-planarisierende organische Planarisierungsmaterial kann irgendein Material sein, das für eine organische Planarisierungsschicht in Lithographie-Verfahren mit Dreifachschichten eingesetzt wird, die auf dem Fachgebiet bekannt sind. Die untere Planarisierungsschicht 50 kann zum Beispiel mittels Rotationsbeschichtung oder chemischer Gasphasenabscheidung gebildet werden. Die Dicke der unteren Planarisierungsschicht 50 kann von 50 nm bis 200 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können. Die obere dielektrische Schicht 60 kann eine Schicht aus Siliciumoxid oder eine Silicium enthaltende Antireflexbeschichtungs(ARC)-Schicht sein. Die Schicht aus Siliciumoxid kann zum Beispiel mittels Rotationsbeschichtung oder mittels chemischer Gasphasenabscheidung abgeschieden werden. Die Silicium enthaltende ARC-Schicht kann mittels Rotationsbeschichtung abgeschieden werden. Die Dicke der oberen dielektrischen Schicht 60 kann von 10 nm bis 100 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Die zweite metallische Hartmaskenschicht 70 beinhaltet wenigstens ein metallisches Material und beinhaltet optional ein organisches Material. Die zweite metallische Hartmaskenschicht 70 beinhaltet ein Material, das eine Ätzselektivität bezüglich der Materialien der wenigstens einen Schicht (50, 60) aus einem dielektrischen Material bereitstellt.
  • In einer Ausführungsform besteht die zweite metallische Hartmaskenschicht 70 aus wenigstens einem metallischen Material. Die zweite metallische Hartmaskenschicht 70 kann zum Beispiel eines oder mehrere von TiN, TaN, WN, Ti, Ta und W beinhalten.
  • In einer weiteren Ausführungsform beinhaltet die zweite metallische Hartmaskenschicht 70 wenigstens ein metallisches Material und ein organisches Material, wie beispielsweise ein Polymer. Das wenigstens eine metallische Material kann eines oder mehrere von Ta, Ti, W, TaN, TiN und WN beinhalten. Das wenigstens eine metallische Material kann innerhalb einer Matrix aus dem organischen Material verteilt sein. Die zweite metallische Hartmaskenschicht 70 kann zum Beispiel ein metall-organisches Antireflexbeschichtungs(ARC)-Material sein, wie beispielsweise ein ARC-Material, das Ti beinhaltet.
  • Die zweite metallische Hartmaskenschicht 70 kann mittels chemischer Gasphasenabscheidung (CVD), physikalischer Gasphasenabscheidung (PVD) oder Rotationsbeschichtung gebildet werden. Die Dicke der zweiten metallischen Hartmaskenschicht 70 kann von 5 nm bis 50 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Die erste organische Planarisierungsschicht (OPL) 80 wird zum Beispiel mittels Rotationsbeschichtung über der zweiten metallischen Hartmaskenschicht 70 gebildet. Die erste OPL 80 beinhaltet ein selbst-planarisierendes organisches Planarisierungsmaterial, das eine Schicht aus einem Polymer mit einer geringen Viskosität sein kann. Das selbst-planarisierende organische Planarisierungsmaterial kann irgendein Material sein, das in Lithographie-Verfahren mit Dreifachschichten, die auf dem Fachgebiet bekannt sind, für eine organische Planarisierungsschicht eingesetzt wird. Die Dicke der ersten OPL 80 kann von 100 nm bis 200 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Die erste Antireflexbeschichtungs(ARC)-Schicht 90 ist eine optionale Schicht und kann zum Beispiel mittels Rotationsbeschichtung gebildet werden. Die erste ARC-Schicht 90 kann irgendein Antireflex-Material beinhalten, das auf dem Fachgebiet bekannt ist, und kann Silicium und/oder ein organisches Material beinhalten. Die Dicke der ersten ARC-Schicht 90 kann von 10 nm bis 100 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Die erste Photoresist-Schicht 97 wird zum Beispiel mittels Rotationsbeschichtung direkt auf der ersten ARC-Schicht 90 oder direkt auf der ersten OPL 80 angebracht.
  • Die Dicke der ersten Photoresistschicht 97 kann von 50 nm bis 600 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können. Die erste Photoresistschicht 97 kann eine Schicht aus einem Photoresist sein, das sensitiv gegenüber tiefer Ultraviolett(DUV)-Strahlung, extremer Ultraviolett(EUV)- oder mittlerer Ultraviolett(MUV)-Strahlung ist, wie auf dem Fachgebiet bekannt, oder kann ein Elektronenstrahl-Resist sein, das sensitiv gegenüber einer Strahlung von energetischen Elektronen ist.
  • Die erste Photoresistschicht 97 wird mittels einer lithographischen Belichtung und Entwicklung mit einem ersten lithographischen Muster strukturiert. Das erste lithographische Muster kann ein Durchkontakt-Muster sein, das Formen für eine Vielzahl von ersten Durchkontaktöffnungen beinhaltet. Die Formen für die Vielzahl von ersten Durchkontaktöffnungen können Kreise und/oder Ellipsen beinhalten. In einer Ausführungsform kann die Vielzahl von ersten Durchkontaktöffnungen Durchkontaktöffnungen beinhalten, die minimale druckbare Abmessungen für irgendeine gegebene Form, z. B. einen Kreis mit einer minimalen druckbaren Abmessung oder eine Ellipse mit einer minimalen druckbaren Abmessung, für irgendeine gegebene Exzentrizität aufweisen. In einer Ausführungsform kann die Vielzahl von ersten Durchkontaktöffnungen eine oder mehrere einer Ellipse beinhalten, die eine kleine Halbachse b in einer Richtung parallel zu der Längsrichtung der Leitungen in dem wenigstens einen Leitungsmuster in der ersten metallischen Hartmaskenschicht 40 aufweist und eine große Halbachse in der Richtung senkrecht zu der Längsrichtung der Leitungen in dem wenigstens einen Leitungsmuster in der ersten metallischen Hartmaskenschicht 40 aufweist. Eine Wahl der kleinen Halbachse b in der Richtung parallel zu der Längsrichtung der Leitungen in dem wenigstens einen Leitungsmuster in der ersten metallischen Hartmaskenschicht 40 ermöglicht eine nachfolgende Bildung einer Durchkontaktöffnung, die eine Breite in der Senkrechten zu der Richtung der Metallleitungen aufweist, die geringer als der minimale druckbare Durchmesser für einen Kreis in einem lithographischen Muster ist. In einer Ausführungsform können die Durchkontakte lediglich innerhalb der Metallgräben in die dielektrische Schicht 20 hinein transferiert werden. Diese Struktur wird hierin als ”selbst-ausgerichtete Durchkontakte” bezeichnet, d. h. Durchkontakte, die selbst-ausgerichtet zu den Metallgräben sind.
  • Bezugnehmend auf die 3A und 3B wird das erste lithographische Muster in der ersten Photoresist-Schicht 97 durch einen Satz von wenigstens einem Ätzprozess, der hierin kollektiv als ein ”erster Ätzvorgang” bezeichnet wird, in darunter liegende Schichten hinein transferiert. Im Einzelnen wird das erste lithographische Muster in der ersten Photoresist-Schicht 97 in die erste ARC-Schicht 90 hinein transferiert, indem die erste Photoresistschicht 97 während eines ersten Schrittes des ersten Ätzvorgangs als eine Ätzmaske eingesetzt wird. Die Anteile der ersten ARC-Schicht 90, die unter Öffnungen in der ersten Photoresistschicht 97 liegen, werden durchgeätzt, und das erste lithographische Muster wird nachfolgend während eines zweiten Schrittes des ersten Ätzvorgangs durch die erste OPL 80 hindurch transferiert. Oberseiten der zweiten metallischen Hartmaskenschicht 70 werden am Boden von jedem der Durchkontakte physisch freigelegt, der während des zweiten Schrittes des ersten Ätzvorgangs innerhalb der ersten OPL gebildet wird.
  • Der erste Schritt und der zweite Schritt des ersten Ätzvorgangs können zum Beispiel mittels eines anisotropen Ätzvorgangs durchgeführt werden, wie beispielsweise eines reaktiven Ionen-Ätzvorgangs. Es können wenigstens eines von Fluorkohlenstoff-Gasen und/oder wenigstens ein Hydrofluorcarbonat-Gas und/oder Sauerstoff und/oder Stickstoff eingesetzt werden, um den Transfer des ersten lithographischen Musters von der ersten Photoresistschicht 97 in die erste OPL 80 hinein zu bewirken. Der erste Schritt und der zweite Schritt des ersten Ätzvorgangs können den gleichen Chemismus oder unterschiedliche Chemismen einsetzen und können den gleichen oder einen unterschiedlichen Druck und/oder die gleiche oder eine unterschiedliche Temperatur einsetzen. In einer Ausführungsform kann die erste ARC-Schicht 90 während des Transfers des ersten lithographischen Musters in die erste OPL 80 hinein als eine Ätzmaske fungieren.
  • Bezugnehmend auf die 4A und 4B wird das erste lithographische Muster in der ersten OPL 80 und der ersten ARC-Schicht 90 während eines dritten Schrittes des ersten Ätzvorgangs in die zweite metallische Hartmaskenschicht 70 hinein transferiert. Der dritte Schritt des ersten Ätzvorgangs enthält Halogen-Gase und/oder Edelgase. In einer Ausführungsform enthält der dritte Schritt des ersten Ätzvorgangs Cl2 und Ar. Oberseiten der wenigstens einen Schicht (50, 60) aus einem dielektrischen Material werden an dem Boden von jedem der Durchkontakte in der ersten OPL 80 am Ende des dritten Schrittes des ersten Ätzvorgangs physisch freigelegt, jedoch nicht durchgeätzt. Im Einzelnen ist die obere dielektrische Schicht in der wenigstens einen dielektrischen Schicht (50, 60) eine Ätzstopp-Schicht. In einer Ausführungsform kann die erste ARC-Schicht 90 während des dritten Schrittes des ersten Ätzvorgangs aufgebraucht werden.
  • Bezugnehmend auf die 5A und 5B wird jeglicher verbliebene Anteil der ersten OPL 80 oberhalb der zweiten metallischen Hartmaskenschicht 70 zum Beispiel mittels Veraschen entfernt. Die Entfernung der ersten OPL 80 ist selektiv bezüglich der Materialien der zweiten metallischen Hartmaskenschicht 70 und bezüglich des oberen Anteils der wenigstens einen Schicht (50, 60) aus einem dielektrischen Material.
  • Bezugnehmend auf die 6A und 6B werden eine zweite OPL 180, eine zweite ARC-Schicht 190 sowie eine zweite Photoresistschicht 197 sequentiell über der strukturierten zweiten metallischen Hartmaskenschicht 70 gebildet. Die zweite OPL 180 wird zum Beispiel mittels Rotationsbeschichtung über der zweiten metallischen Hartmaskenschicht 70 gebildet. Die zweite OPL 180 beinhaltet ein selbst-planarisierendes organisches Planarisierungsmaterial, das eine Schicht aus einem Polymer mit einer geringen Viskosität sein kann. Das selbst-planarisierende organische Planarisierungsmaterial kann irgendein Material sein, das in Lithographie-Verfahren mit Dreifachschichten, die auf dem Fachgebiet bekannt sind, für eine organische Planarisierungsschicht eingesetzt wird. Das Material der zweiten OPL 180 kann das gleiche wie das Material der ersten OPL 80 sein oder kann sich von diesem unterscheiden. Die Dicke der zweiten OPL 180 kann, wie von oberhalb der Oberseite der strukturierten zweiten metallischen Hartmaskenschicht 70 aus gemessen, von 50 nm bis 200 nm betragen, wenngleich auch geringere oder größere Dicken eingesetzt werden können.
  • Die zweite ARC-Schicht 190 ist eine optionale Schicht und kann zum Beispiel mittels Rotationsbeschichtung gebildet werden. Die zweite ARC-Schicht 190 kann irgendein Antireflex-Material beinhalten, das auf dem Fachgebiet gekannt ist, und kann Silicium und/oder ein organisches Material beinhalten. Die Dicke der zweiten ARC-Schicht 190 kann von 10 nm bis 100 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Die zweite Photoresistschicht 197 wird zum Beispiel mittels Rotationsbeschichtung direkt auf der zweiten ARC-Schicht 190 oder direkt auf der zweiten OPL 180 angebracht. Die Dicke der zweiten Photoresistschicht 197 kann von 50 nm bis 600 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können. Die zweite Photoresistschicht 197 kann eine Schicht aus einem Photoresist sein, das sensitiv bezüglich tiefer Ultraviolett(DUV)-Strahlung, extremer Ultraviolett(EUV)- oder mittlerer Ultraviolett(MUV)-Strahlung ist, wie auf dem Fachgebiet bekannt, oder kann ein Elektronenstrahl-Resist sein, das sensitiv bezüglich einer Strahlung von energetischen Elektronen ist.
  • Die zweite Photoresistschicht 197 wird mittels einer lithographischen Belichtung und Entwicklung mit einem zweiten lithographischen Muster strukturiert. Das zweite lithographische Muster kann ein Durchkontaktmuster sein, das Formen für eine Vielzahl von zweiten Durchkontaktöffnungen beinhaltet. Die Formen für die Vielzahl von zweiten Durchkontaktöffnungen können Kreise und/oder Ellipsen beinhalten. In einer Ausführungsform kann die Vielzahl von zweiten Durchkontaktöffnungen Durchkontaktöffnungen beinhalten, die minimale druckbare Abmessungen für jegliche gegebene Form aufweisen. In einer Ausführungsform kann die Vielzahl von zweiten Durchkontaktöffnungen eine oder mehrere einer Ellipse sein, die eine kleine Halbachse b in einer Richtung parallel zu der Längsrichtung der Leitungen in dem wenigstens einen Leitungsmuster in der ersten metallischen Hartmaskenschicht 40 aufweist und eine große Halbachse in der Richtung senkrecht zu der Längsrichtung der Leitungen in dem wenigstens einen Leitungsmuster in der ersten metallischen Hartmaskenschicht 40 aufweist. Eine Wahl der kleinen Halbachse b in der Richtung parallel zu der Längsrichtung der Leitungen in dem wenigstens einen Leitungsmuster in der ersten metallischen Hartmaskenschicht 40 ermöglicht eine nachfolgende Bildung einer Durchkontaktöffnung mit einer Breite in der Senkrechten zu der Richtung der Metallleitungen, die geringer als der minimale druckbare Durchmesser für einen Kreis in einem lithographischen Muster ist. Im Besonderen werden die Durchkontakte lediglich innerhalb der Metallgräben in die dielektrische Schicht 20 hinein transferiert. Mit anderen Worten wird dies als selbst-ausgerichtete Durchkontakte bezüglich der Metallgräben bezeichnet.
  • Bezugnehmend auf die 7A und 7B wird das zweite lithographische Muster in der zweiten Photoresistschicht 197 durch einen Satz von wenigstens einem Ätzprozess, der hierin kollektiv als ein ”zweiter Ätzvorgang” bezeichnet wird, in darunter liegende Schichten hinein transferiert. Im Einzelnen wird das zweite lithographische Muster in der zweiten Photoresistschicht 197 in die zweite ARC-Schicht 190 hinein transferiert, indem die zweite Photoresistschicht 197 während des ersten Schrittes des zweiten Ätzvorgangs als eine Ätzmaske eingesetzt wird. Die Anteile der zweiten ARC-Schicht 190, die unter Öffnungen in der zweiten Photoresistschicht 197 liegen, werden durchgeätzt, und das zweite lithographische Muster wird nachfolgend während eines zweiten Schrittes des zweiten Ätzvorgangs durch die zweite OPL 180 hindurch transferiert. Oberseiten der zweiten metallischen Hartmaskenschicht 70 werden an dem Boden jedes Grabens, der während des zweiten Schrittes des zweiten Ätzvorgangs innerhalb der zweiten OPL 180 gebildet wird, physisch freigelegt.
  • Der erste Schritt und der zweite Schritt des zweiten Ätzvorgangs können zum Beispiel mittels eines anisotropen Ätzvorgangs bewerkstelligt werden, wie beispielsweise eines reaktiven Ionenätzvorgangs. Wenigstens eines von Fluorkohlenstoff-Gasen und/oder wenigstens ein Hydrofluorcarbonat-Gas und/oder Sauerstoff und/oder Stickstoff können eingesetzt werden, um den Transfer des zweiten Lithographischen Musters von der zweiten Photoresistschicht 197 in die zweite OPL 180 hinein zu bewerkstelligen. Der erste Schritt und der zweite Schritt des zweiten Ätzvorgangs können den gleichen Chemismus oder unterschiedliche Chemismen einsetzen und können den gleichen oder einen unterschiedlichen Druck und/oder die gleiche oder eine unterschiedliche Temperatur einsetzen. In einer Ausführungsform kann die zweite ARC-Schicht 190 während des Transfers des zweiten lithographischen Musters in die zweite OPL 180 hinein als eine Ätzmaske fungieren.
  • Die erste exemplarische Struktur am Ende des zweiten Schrittes des zweiten Ätzvorgangs, wie in den 7A und 7B dargestellt, ist eine lithographische Struktur, die eine Schicht aus einem dielektrischen Material, d. h. die Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material, die sich auf dem Substrat 10 befindet; die erste metallische Hartmaskenschicht 40, die das wenigstens eine Leitungsmuster beinhaltet und die sich über der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material befindet; wenigstens eine weitere Schicht aus einem dielektrischen Material, d. h. den Stapel aus der unteren Planarisierungsschicht 50 und der oberen dielektrischen Schicht 60, der sich auf der ersten metallischen Hartmaskenschicht 40 befindet; und die zweite metallische Hartmaskenschicht 70, die sich auf der wenigstens einen weiteren Schicht aus einem dielektrischen Material befindet und die wenigstens einen Satz von wenigstens einer Öffnung beinhaltet, der dem ersten lithographischen Muster entspricht; sowie eine organische Planarisierungsschicht beinhaltet, d. h. die zweite OPL 180, die sich auf der zweiten metallischen Hartmaskenschicht 70 befindet und die wenigstens einen Durchkontakt beinhaltet, der dem zweiten lithographischen Muster entspricht, das sich von dem ersten lithographischen Muster unterscheidet. Die lithographische Struktur beinhaltet des Weiteren eine Antireflexbeschichtungs(ARC)-Schicht, d. h. die zweite ARC-Schicht 190, die sich über der zweiten OPL 180 befindet und die das zweite lithographische Muster beinhaltet.
  • Bezugnehmend auf die 8A und 8B wird das zweite lithographische Muster in der zweiten OPL 180 und der zweiten ARC-Schicht 190 während eines dritten Schrittes des zweiten Ätzvorgangs in die zweite metallische Hartmaskenschicht 70 hinein transferiert. Der dritte Schritt des zweiten Ätzvorgangs enthält Halogen-Gase und/oder Edelgase. In einer Ausführungsform enthält der dritte Schritt dieses zweiten Ätzvorgangs Cl2 und Ar. Oberseiten der wenigstens einen Schicht (50, 60) aus einem dielektrischen Material werden an dem Boden von jedem Durchkontakt in der zweiten OPL 180 am Ende des dritten Schrittes des zweiten Ätzvorgangs physisch freigelegt, jedoch nicht durchgeätzt. Im Einzelnen wirkt die obere dielektrische Schicht in der wenigstens einen dielektrischen Schicht (50, 60) als eine Ätzstoppschicht. In einer Ausführungsform kann die zweite ARC-Schicht 190 während des dritten Schrittes des zweiten Ätzvorgangs verbraucht werden.
  • In der zweiten metallischen Hartmaskenschicht 70 wird mittels einer Kombination des ersten Ätzvorgangs und des zweiten Ätzvorgangs ein erstes Kombinationsmuster gebildet, welches das erste lithographische Muster und das zweite lithographische Muster beinhaltet. Das erste lithographische Muster und das zweite lithographische Muster werden mittels der gleichen oder mittels unterschiedlicher Ätzprozesse in die zweite metallische Hartmaskenschicht 70 hinein transferiert. Im Einzelnen wird das erste lithographische Muster mittels des ersten Ätzvorgangs in die zweite metallische Hartmaskenschicht 70 hinein transferiert, und das zweite lithographische Muster wird mittels des zweiten Ätzvorgangs in die zweite metallische Hartmaskenschicht 70 hinein transferiert. Das erste Kombinationsmuster ist die Vereinigung des ersten lithographischen Musters und des zweiten lithographischen Musters.
  • Die erste exemplarische Struktur am Ende des zweiten Schrittes des zweiten Ätzvorgangs, wie in den 8A und 8B dargestellt, ist eine lithographische Struktur, die eine Schicht aus einem dielektrischen Material, d. h. die Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material, die sich auf dem Substrat 10 befindet; die erste metallische Hartmaskenschicht 40, die das wenigstens eine Leitungsmuster beinhaltet und die sich über der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material befindet; wenigstens eine weitere Schicht aus einem dielektrischen Material, d. h. den Stapel aus der unteren Planarisierungsschicht 50 und der oberen dielektrischen Schicht 60, der sich auf der ersten metallischen Hartmaskenschicht 40 befindet; und die zweite metallische Hartmaskenschicht 70, die sich auf der wenigstens einen Schicht (50, 60) aus einem dielektrischen Material befindet und einen Satz von wenigstens einer Öffnung, der dem ersten lithographischen Muster entspricht, und einen Satz von wenigstens einer weiteren Öffnung beinhaltet, der dem zweiten lithographischen Muster entspricht; sowie eine organische Planarisierungsschicht beinhaltet, d. h. die zweite OPL 180, die sich auf der zweiten metallischen Hartmaskenschicht 70 befindet und die wenigstens einen Durchkontakt beinhaltet, der dem zweiten lithographischen Muster entspricht, das sich von dem ersten lithographischen Muster unterscheidet. Der Satz von wenigstens einer Öffnung in der zweiten metallischen Hartmaskenschicht 70, der dem ersten lithographischen Muster entspricht, ist mit der zweiten OPL 180 gefüllt, und der Satz von wenigstens einer weiteren Öffnung in der zweiten metallischen Hartmaskenschicht 70, der dem zweiten lithographischen Muster entspricht, ist nicht mit der zweiten OPL 180 gefüllt.
  • Bezugnehmend auf die 9A und 9B wird jeglicher verbliebene Anteil der zweiten OPL 180 oberhalb der zweiten metallischen Hartmaskenschicht 70 zum Beispiel mittels Veraschen entfernt. Die Entfernung der zweiten OPL 280 ist selektiv bezüglich der Materialien der zweiten metallischen Hartmaskenschicht 70 und bezüglich des oberen Anteils der wenigstens einen Schicht (50, 60) aus einem dielektrischen Material.
  • Bezugnehmend auf die 10A und 10B wird das erste Kombinationsmuster durch die wenigstens eine Schicht (50, 60) aus einem dielektrischen Material hindurch transferiert, indem ein weiterer Ätzvorgang eingesetzt wird, der hierin als ein ”dritter Ätzvorgang” bezeichnet wird. Der dritte Ätzvorgang kann ein anisotroper Ätzvorgang sein, wie beispielsweise ein reaktiver Ionenätzvorgang. In einer Ausführungsform setzt der dritte Ätzvorgang die strukturierte zweite metallische Hartmaskenschicht 70 als eine Ätzmaske ein und setzt die untere Planarisierungsschicht 50 als eine Stoppschicht ein. Bis zum Ende dieses dritten Ätzvorgangs ist die untere Planarisierungsschicht 50 nicht durchgeätzt.
  • Bezugnehmend auf 11A und 11B wird die zweite metallische Hartmaske 70 entfernt, indem ein Ätzvorgang eingesetzt wird. Dieser Ätzvorgang kann ein trockener Plasma-Ätzvorgang oder eine nasse Behandlung sein, die selektiv gegenüber der wenigstens einen dielektrischen Schicht (50, 60) sind. In einer Ausführungsform kann dieser Ätzvorgang einen Chemismus eines Plasma-Ätzvorgangs verwenden, der Halogen-Gase und/oder Edelgase enthält. In einer Ausführungsform enthält dieser Chemismus eines trockenen Plasma-Ätzvorgangs Cl2 und Ar oder Cl2 und He.
  • In einer weiteren Ausführungsform kann die wenigstens eine dielektrische Schicht (50, 60) lediglich eine dielektrische Schicht enthalten. In dieser Ausführungsform unterscheidet sich die zweite metallische Hartmaskenschicht 70 von der ersten metallischen Schicht 40. In einem Beispiel ist die zweite metallische Hartmaskenschicht 70 eine Ti enthaltende ARC-Schicht, und die erste metallische Schicht 40 setzt TiN ein. Der dritte Ätzvorgang setzt die strukturierte zweite metallische Hartmaskenschicht 70 als eine Ätzmaske ein und setzt die erste metallische Schicht 40 als eine Stoppschicht ein. In dieser Ausführungsform wird die zweite metallische Hartmaske 70 nach wie vor nach dem dritten Ätzvorgang entfernt. In einem Beispiel wird die zweite metallische Hartmaske 70 mittels eines nassen Chemismus entfernt, der selektiv gegenüber der ersten metallischen Hartmaske 40, der einzelnen Schicht der dielektrischen Schicht zwischen den zwei metallischen Hartmaskenschichten und der dielektrischen Hartmaskenschicht 30 sowie der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material ist.
  • Bezugnehmend auf die 12A und 12B wird das zweite Kombinationsmuster in die dielektrische Hartmaskenschicht 30 und die Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material hinein transferiert, indem noch ein weiterer Ätzvorgang eingesetzt wird, der hierin als ein ”vierter Ätzvorgang” bezeichnet wird. Der vierte Ätzvorgang kann ein anisotroper Ätzvorgang sein, wie beispielsweise ein reaktiver Ionenätzvorgang. Der vierte Ätzvorgang setzt die Kombination der wenigstens einen dielektrischen Schicht (50, 60) und der ersten metallischen Hartmaske 40 als die Ätzmaske ein. Somit ätzt der Ätz-Chemismus des vierten Ätzvorgangs die dielektrischen Materialien der dielektrischen Hartmaskenschicht 30 sowie der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material und ist selektiv bezüglich der ersten metallischen Hartmaske 40.
  • Physisch freigelegte Anteile der dielektrischen Hartmaskenschicht 30 werden durchgeätzt, und physisch freigelegte Oberflächen der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material werden vertikal vertieft, um Durchkontakte 21 mit einer ersten Tiefe d1 von der Oberseite der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material aus zu bilden. Somit kann das zweite Kombinationsmuster bis zu der ersten Tiefe d1 innerhalb der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material transferiert werden. Die erste Tiefe d1 kann geringer als die oder gleich der Dicke t der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material sein.
  • Bezugnehmend auf die 13A und 13B wird die wenigstens eine dielektrische Schicht (50, 60) oberhalb der ersten metallischen Hartmaskenschicht 40 entfernt. In einer Ausführungsform wird, wenn die wenigstens eine dielektrische Schicht (50, 60) eine untere organische Planarisierungsschicht 50 und eine obere dielektrische Schicht 60 enthält, die dielektrische Schicht 60 während des Ätzvorgangs der dielektrischen Hartmaskenschicht 30 und der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material opfernd entfernt. Die untere Planarisierungsschicht 50 kann verascht werden, indem ein Sauerstoff enthaltendes Plasma eingesetzt wird. In einer weiteren Ausführungsform kann, wenn die wenigstens eine dielektrische Schicht (50, 60) lediglich eine einzelne Schicht aus einer dielektrischen Schicht enthält, diese einzelne Schicht aus einer dielektrischen Schicht mittels einer nassen Behandlung entfernt werden, wie beispielsweise eines Ätzvorgangs mit verdünnter HF.
  • Bezugnehmend auf die 14A und 14B wird das wenigstens eine Leitungsmuster in der ersten metallischen Hartmaskenschicht 40 in einen oberen Anteil der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material hinein transferiert, indem ein weiterer Ätzvorgang eingesetzt wird, der hierin als ein ”fünfter Ätzvorgang” bezeichnet wird, bei dem die erste metallische Hartmaskenschicht 40 als eine bei dem Ätzvorgang maskierende Schicht wirkt. Der fünfte Ätzvorgang kann ein anisotroper Ätzvorgang sein, wie beispielsweise ein reaktiver Ionenätzvorgang. Der Transfer des wenigstens einen Leitungsmusters in der ersten metallischen Hartmaskenschicht 40 in den oberen Anteil der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material hinein bildet wenigstens einen Leitungsgraben. Das zweite Kombinationsmuster, das bei den Durchkontakten 21 innerhalb der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material vorliegt, wird weitergehend bis zu einer weiteren Tiefe transferiert, die größer als die zweite Tiefe d2 ist, und wird während des Transfers des wenigstens einen Leitungsmusters in die Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material hinein durch die Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material hindurch geätzt. Innerhalb der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material wird wenigstens ein Doppel-Damaszener-Graben 23 gebildet, in dem wenigstens ein Durchkontakt-Hohlraum integral mit wenigstens einem Leitungs-Hohlraum gebildet wird. Jeder der Durchkontakt-Hohlräume kann sich bis zu der Unterseite der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material erstrecken und auf der Metallleitung darunter aufkommen.
  • Bezugnehmend auf die 15A und 15B wird wenigstens eine integrierte Leitungs-Durchkontakt-Struktur 28, bei der wenigstens eine leitfähige Durchkontakt-Struktur integral mit wenigstens einer leitfähigen Leitungs-Struktur gebildet wird, innerhalb des Stapels aus der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material und der optionalen dielektrischen Hartmaskenschicht 30 gebildet. Zum Beispiel kann ein leitfähiges Material innerhalb des wenigstens einen Doppel-Damaszener-Grabens 23 abgeschieden und nachfolgend planarisiert werden, indem ein chemisch-mechanisches Polieren (CMP) eingesetzt wird, das die erste Metall-Hartmaskenschicht 40, die dielektrische Hartmaskenschicht 30 sowie einen oberen Anteil der Zwischenverbindungsebenen-Schicht 20 aus einem dielektrischen Material entfernt.
  • Die Verfahren der vorliegenden Offenbarung verhindern eine laterale Erweiterung des zweiten lithographischen Musters während des Transfers des ersten lithographischen Musters in die zweite metallische Hartmaskenschicht 70 hinein während des zweiten Ätzvorgangs, da die zweite OPL 180 die Öffnungen innerhalb der zweiten metallischen Hartmaskenschicht 70 bedeckt, die dem ersten lithographischen Muster entsprechen, und dies führt einen Unterschied der OPL-Ätzdicke zwischen den zwei Sätzen von Mustern von zwei Litho-Belichtungen ein.
  • Eine zweite exemplarische Struktur gemäß einer zweiten Ausführungsform der vorliegenden Offenbarung kann aus der ersten exemplarischen Struktur abgeleitet werden, indem die wenigstens eine Schicht (50, 60) aus einem dielektrischen Material der ersten Ausführungsform durch eine einzelne Schicht aus einem dielektrischen Material substituiert wird, die hierin als eine metallische Zwischen-Hartmasken-Schicht 150 aus einem dielektrischen Material bezeichnet wird. Die 16A und 16B stellen die zweite exemplarische Struktur bei einem Prozessschritt dar, der den 6A und 6B der ersten Ausführungsform entspricht. In der zweiten Ausführungsform können die gleichen Prozessschritte eingesetzt werden wie in der ersten Ausführungsform, mit der Ausnahme der Substitution der wenigstens einen Schicht (50, 60) aus einem dielektrischen Material der ersten Ausführungsform durch die metallische Zwischen-Hartmasken-Schicht 150 aus einem dielektrischen Material.
  • In einer Ausführungsform kann die metallische Zwischen-Hartmasken-Schicht 150 aus einem dielektrischen Material ein Material aus hydriertem Siliciumnitrid mit einer Zusammensetzung von Si3HxNy beinhalten, bei dem x größer als 0 ist und kleiner als 2 ist und y größer als 2 ist und kleiner als 5 ist.
  • Wenngleich die Offenbarung in Bezug auf spezifische Ausführungsformen beschrieben wurde, ist es in Anbetracht der vorstehenden Beschreibung offensichtlich, dass zahlreiche Alternativen, Modifikationen und Variationen für den Fachmann ersichtlich sind. Jede der verschiedenen Ausführungsformen der vorliegenden Offenbarung kann alleine oder in Kombination mit irgendwelchen anderen Ausführungsformen der vorliegenden Offenbarung realisiert werden, wenn nicht ausdrücklich anderweitig offenbart oder anderweitig unmöglich, wie es einem Fachmann bekannt ist. Demgemäß soll die Offenbarung sämtliche derartigen Alternativen, Modifikationen und Variationen umfassen, die in den Umfang und Inhalt der Offenbarung und der nachstehenden Ansprüche fallen.

Claims (25)

  1. Verfahren zum Bilden einer Struktur, das aufweist: Bilden eines Stapels, der wenigstens eine Schicht (20) aus einem dielektrischen Material sowie eine erste metallische Hartmaskenschicht (40) beinhaltet; Strukturieren der ersten metallischen Hartmaskenschicht mit wenigstens einem Leitungsmuster (1A); Bilden von wenigstens einer zweiten metallischen Hartmaskenschicht (70) über der strukturierten ersten metallischen Hartmaskenschicht (2B); Bilden eines ersten Kombinationsmusters (9B), das ein erstes lithographisches Muster (4B) sowie ein zweites lithographisches Muster (8B) in der zweiten metallischen Hartmaskenschicht (70) beinhaltet, wobei das erste lithographische Muster und das zweite lithographische Muster mittels unterschiedlicher Ätzprozesse in die zweite metallische Hartmaskenschicht hinein transferiert werden; und Transferieren eines zweiten Kombinationsmusters, das einen Schnitt des ersten Kombinationsmusters und des wenigstens einen Leitungsmusters beinhaltet, in die Schicht aus einem dielektrischen Material (12A) hinein.
  2. Verfahren nach Anspruch 1, das des Weiteren aufweist: Beschichten der zweiten metallischen Hartmaskenschicht mit einer ersten Photoresistschicht (97); Bilden des ersten lithographischen Musters in der ersten Photoresistschicht (2B), wobei das erste lithographische Muster nachfolgend mittels eines ersten Ätzvorgangs (4B) in die zweite metallische Hartmaskenschicht hinein transferiert wird; Beschichten der zweiten metallischen Hartmaskenschicht mit einer zweiten Photoresistschicht (197), nachdem das erste lithographische Muster in die zweite metallische Hartmaskenschicht hinein transferiert ist; und Bilden des zweiten lithographischen Musters in der zweiten Photoresistschicht (6B), wobei das zweite lithographische Muster nachfolgend mittels eines zweiten Ätzvorgangs (8B) in die zweite metallische Hartmaskenschicht hinein transferiert wird.
  3. Verfahren nach Anspruch 1, das des Weiteren aufweist: Abscheiden einer ersten organischen Planarisierungsschicht (OPL) (80) über der zweiten metallischen Hartmaskenschicht (2B), wobei das erste lithographische Muster nachfolgend mittels eines ersten Ätzvorgangs durch die erste OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird; Entfernen der ersten OPL oberhalb der zweiten metallischen Hartmaskenschicht (5B); und Abscheiden einer zweiten OPL (180) über der zweiten metallischen Hartmaskenschicht (6B), wobei das zweite lithographische Muster nachfolgend mittels eines zweiten Ätzvorgangs durch die zweite OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird.
  4. Verfahren nach Anspruch 3, das des Weiteren aufweist: Beschichten der ersten OPL mit einer ersten Photoresistschicht (97) (2B), wobei das erste lithographische Muster nachfolgend mittels des ersten Ätzvorgangs von der ersten Photoresistschicht durch die erste OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird; und Beschichten der zweiten OPL mit einer zweiten Photoresistschicht (197) (6B), wobei das zweite lithographische Muster nachfolgend mittels des zweiten Ätzvorgangs von der zweiten Photoresistschicht durch die zweite OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird.
  5. Verfahren nach Anspruch 4, das des Weiteren aufweist: Abscheiden einer ersten Antireflexbeschichtungs(ARC)-Schicht (90) über der ersten OPL (2B), wobei die erste Photoresistschicht auf der ersten ARC-Schicht gebildet wird, wobei die erste ARC-Schicht während des Transfers des ersten lithographischen Musters in die erste OPL hinein als eine Ätzmaske fungiert; und Abscheiden einer zweiten ARC-Schicht (190) über der zweiten OPL (6B), wobei die zweite Photoresistschicht auf der zweiten ARC-Schicht gebildet wird, wobei die zweite ARC-Schicht während des Transfers des zweiten lithographischen Musters in die zweite OPL hinein als eine Ätzmaske fungiert.
  6. Verfahren nach Anspruch 1, das des Weiteren ein Abscheiden der zweiten metallischen Hartmaskenschicht als eine Schicht aufweist, die wenigstens ein Metall und wenigstens ein organisches Material aufweist.
  7. Verfahren nach Anspruch 1, das des Weiteren ein Abscheiden der zweiten metallischen Hartmaskenschicht als eine Schicht aufweist, die ein metallorganisches Antireflexbeschichtungs(ARC)-Material aufweist.
  8. Verfahren nach Anspruch 1, das des Weiteren ein Abscheiden der zweiten metallischen Hartmaskenschicht als eine Schicht aufweist, die wenigstens eines von Ta, Ti, W, TaN, TiN und WN aufweist.
  9. Verfahren nach Anspruch 1, das des Weiteren ein Abscheiden von wenigstens einer weiteren Schicht (50/60, 150) aus einem dielektrischen Material über der ersten metallischen Hartmaskenschicht aufweist, wobei die zweite metallische Hartmaskenschicht auf der wenigstens einen weiteren Schicht (50/60, 150) aus einem dielektrischen Material gebildet wird, wobei das erste Kombinationsmuster nachfolgend durch die wenigstens eine weitere Schicht aus einem dielektrischen Material hindurch transferiert wird.
  10. Verfahren nach Anspruch 9, das des Weiteren ein Abscheiden der wenigstens einen weiteren Schicht (50/60) aus einem dielektrischen Material als ein Stapel aus einer oberen dielektrischen Schicht (60) und einer unteren Planarisierungsschicht (50) aufweist, wobei die obere dielektrische Schicht wenigstens eines von Siliciumoxid und einem Silicium enthaltenden Antireflexbeschichtungs(ARC)-Material aufweist und die untere Planarisierungsschicht wenigstens eines von amorphem Kohlenstoff und einem selbst-planarisierenden organischen Material aufweist.
  11. Verfahren nach Anspruch 9, das des Weiteren ein Abscheiden der wenigstens einen weiteren Schicht aus einem dielektrischen Material als eine einzelne Schicht (150) aus einem dielektrischen Material aufweist, das ein Material aus hydriertem Siliciumnitrid aufweist.
  12. Verfahren nach Anspruch 1, wobei das erste lithographische Muster und das zweite lithographische Muster Durchkontakt-Muster sind und das erste Kombinationsmuster eine Vereinigung des ersten und des zweiten lithographischen Musters ist.
  13. Verfahren nach Anspruch 1, wobei das zweite Kombinationsmuster bis zu einer ersten Tiefe innerhalb der Schicht aus einem dielektrischen Material transferiert wird und wobei das Verfahren des Weiteren ein Transferieren des wenigstens einen Leitungsmusters in die Schicht aus einem dielektrischen Material hinein aufweist, wobei das zweite Kombinationsmuster des Weiteren während des Transfers des wenigstens einen Leitungsmusters in die Schicht aus einem dielektrischen Material hinein bis zu einer weiteren Tiefe, die größer als die erste Tiefe ist, innerhalb der Schicht aus einem dielektrischen Material transferiert wird.
  14. Verfahren nach Anspruch 13, das des Weiteren aufweist: Abscheiden eines leitfähigen Materials innerhalb von wenigstens einem Graben (23), der nach dem Transferieren des zweiten Kombinationsmusters bis in die zweite Tiefe (d2) gebildet wurde; und Entfernen der ersten metallischen Hartmaskenschicht (40) und des leitfähigen Materials oberhalb der Schicht aus einem dielektrischen Material, wobei wenigstens eine integrierte Leitungs-Durchkontakt-Struktur, die das leitfähige Material aufweist, innerhalb der Schicht aus einem dielektrischen Material gebildet wird.
  15. Verfahren zum Bilden einer Struktur, das aufweist: Bilden eines Stapels, der wenigstens eine Schicht (20) aus einem dielektrischen Material sowie eine erste metallische Hartmaskenschicht (40) beinhaltet; Strukturieren der ersten metallischen Hartmaskenschicht mit wenigstens einer Leitungsstruktur (1A); Bilden von wenigstens einer zweiten metallischen Hartmaskenschicht (70) sowie einer ersten Photoresistschicht (97) über der strukturierten ersten metallischen Hartmaskenschicht; Bilden eines ersten lithographischen Musters in der ersten Photoresistschicht (2B) und Transferieren des ersten lithographischen Musters mittels eines ersten Ätzvorgangs (4B) in die zweite metallische Hartmaskenschicht hinein; Bilden einer zweiten Photoresistschicht (197) über der zweiten metallischen Hartmaskenschicht; Strukturieren eines zweiten lithographischen Musters (6B) in der zweiten Photoresistschicht und Transferieren des zweiten lithographischen Musters mittels eines zweiten Ätzvorgangs (8B) in die zweite metallische Hartmaskenschicht hinein, wobei ein erstes Kombinationsmuster (9B), welches das erste lithographische Muster und das zweite lithographische Muster beinhaltet, in der zweiten metallischen Hartmaskenschicht gebildet wird; und Transferieren eines zweiten Kombinationsmusters, das einen Schnitt des ersten Kombinationsmusters und des wenigstens einen Leitungsmusters beinhaltet, in die Schicht aus einem dielektrischen Material hinein (12A).
  16. Verfahren nach Anspruch 15, das des Weiteren aufweist: Abscheiden einer ersten organischen Planarisierungsschicht (OPL) (80) über der zweiten metallischen Hartmaskenschicht, wobei das erste lithographische Muster nachfolgend mittels des ersten Ätzvorgangs durch die erste OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird; Entfernen der ersten OPL oberhalb der zweiten metallischen Hartmaskenschicht; und Abscheiden einer zweiten OPL (180) über der zweiten metallischen Hartmaskenschicht, wobei das zweite lithographische Muster nachfolgend mittels des zweiten Ätzvorgangs durch die zweite OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird.
  17. Verfahren nach Anspruch 16, wobei die erste Photoresistschicht über der ersten OPL gebildet wird, das erste lithographische Muster mittels des ersten Ätzvorgangs von der ersten Photoresistschicht durch die erste OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird, die zweite Photoresistschicht über der zweiten OPL gebildet wird und das zweite lithographische Muster mittels des zweiten Ätzvorgangs von der zweiten Photoresistschicht durch die zweite OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird.
  18. Verfahren zum Bilden einer Struktur, das aufweist: Bilden eines Stapels, der wenigstens eine Schicht (20) aus einem dielektrischen Material sowie eine erste metallische Hartmaskenschicht (40) beinhaltet; Strukturieren der ersten metallischen Hartmaskenschicht mit wenigstens einem Leitungsmuster (1A); Bilden von wenigstens einer weiteren Schicht (50/60) aus einem dielektrischen Material, einer zweiten metallischen Hartmaskenschicht (70) sowie einer ersten Photoresistschicht (97) über der strukturierten ersten metallischen Hartmaskenschicht; Bilden eines ersten lithographischen Musters in der ersten Photoresistschicht (2B) und Transferieren des ersten lithographischen Musters mittels eines ersten Ätzvorgangs (4B) in die zweite metallische Hartmaskenschicht hinein; Bilden einer zweiten Photoresistschicht (197) über der zweiten metallischen Hartmaskenschicht; Strukturieren eines zweiten lithographischen Musters in der zweiten Photoresistschicht (6B) und Transferieren des zweiten lithographischen Musters mittels eines zweiten Ätzvorgangs (8B) in die zweite metallische Hartmaskenschicht hinein, wobei ein erstes Kombinationsmuster (9B), welches das erste lithographische Muster und das zweite lithographische Muster beinhaltet, in der zweiten metallischen Hartmaskenschicht gebildet wird; Transferieren des ersten Kombinationsmusters durch die wenigstens eine Schicht aus einem dielektrischen Material hindurch; und Transferieren eines zweiten Kombinationsmusters (12A), das einen Schnitt des ersten Kombinationsmusters und des wenigstens einen Leitungsmusters beinhaltet, in die Schicht aus einem dielektrischen Material hinein.
  19. Verfahren nach Anspruch 18, das des Weiteren aufweist: Abscheiden einer ersten organischen Planarisierungsschicht (OPL) (80) über der zweiten metallischen Hartmaskenschicht, wobei das erste lithographische Muster nachfolgend mittels des ersten Ätzvorgangs durch die erste OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird; Entfernen der ersten OPL oberhalb der zweiten metallischen Hartmaskenschicht; und Abscheiden einer zweiten OPL (180) über der zweiten metallischen Hartmaskenschicht, wobei das zweite lithographische Muster nachfolgend mittels des zweiten Ätzvorgangs durch die zweite OPL hindurch in die zweite metallische Hartmaskenschicht hinein transferiert wird.
  20. Lithographische Struktur, die aufweist: eine Schicht (20) aus einem dielektrischen Material, die sich auf einem Substrat (10) befindet; eine erste metallische Hartmaskenschicht (40), die wenigstens ein Leitungsmuster (1A) beinhaltet und die sich über der Schicht aus einem dielektrischen Material befindet; wenigstens eine weitere Schicht (50/60) aus einem dielektrischen Material, die sich auf der ersten metallischen Hartmaskenschicht befindet; eine zweite metallische Hartmaskenschicht (70), die sich auf der wenigstens einen weiteren Schicht aus einem dielektrischen Material befindet und die wenigstens einen Satz von wenigstens einer Öffnung beinhaltet, der einem ersten lithographischen Muster (5B) entspricht; und eine organische Planarisierungsschicht (180), die sich auf der zweiten metallischen Hartmaskenschicht befindet und die wenigstens einen Graben beinhaltet, der einem zweiten lithographischen Muster entspricht, das sich von dem ersten lithographischen Muster unterscheidet.
  21. Lithographische Struktur nach Anspruch 20, die des Weiteren eine Antireflexbeschichtungs(ARC)-Schicht (190) beinhaltet, die sich über der organischen Planarisierungsschicht befindet und die das zweite lithographische Muster beinhaltet.
  22. Lithographische Struktur nach Anspruch 20, wobei die zweite metallische Hartmaskenschicht des Weiteren einen Satz von wenigstens einer weiteren Öffnung beinhaltet, der dem zweiten lithographischen Muster (8B) entspricht.
  23. Lithographische Struktur nach Anspruch 22, wobei der Satz von wenigstens einer Öffnung, der dem ersten lithographischen Muster entspricht, mit der organischen Planarisierungsschicht gefüllt ist und der Satz von wenigstens einer weiteren Öffnung, der dem zweiten lithographischen Muster entspricht, nicht mit der organischen Planarisierungsschicht gefüllt ist.
  24. Lithographische Struktur, die aufweist: eine Schicht (20) aus einem dielektrischen Material, die sich auf einem Substrat (10) befindet, eine erste metallische Hartmaskenschicht (40), die wenigstens ein Leitungsmuster beinhaltet und die sich über der Schicht aus einem dielektrischen Material befindet; wenigstens eine weitere Schicht (50/60) aus einem dielektrischen Material, die sich auf der ersten metallischen Hartmaskenschicht befindet; eine zweite metallische Hartmaskenschicht (70), die sich auf der wenigstens einen weiteren Schicht aus einem dielektrischen Material befindet und die wenigstens einen Satz von wenigstens einer Öffnung beinhaltet, der einem ersten lithographischen Muster entspricht; eine organische Planarisierungsschicht (180), die sich auf der zweiten metallischen Hartmaskenschicht befindet; und eine Antireflexbeschichtung (ARC) (190), die sich auf der organischen Planarisierungsschicht befindet und die wenigstens einen Graben beinhaltet, der einem zweiten lithographischen Muster entspricht, das sich von dem ersten lithographischen Muster unterscheidet.
  25. Lithographische Struktur nach Anspruch 24, wobei die organische Planarisierungsschicht das zweite lithographische Muster beinhaltet.
DE112012005734.1T 2012-02-22 2012-12-20 Lithographieprozess und Lithographische Struktur mit doppelter Hartmaske Active DE112012005734B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/402,068 US8916337B2 (en) 2012-02-22 2012-02-22 Dual hard mask lithography process
US13/402,068 2012-02-22
PCT/US2012/070784 WO2013126135A1 (en) 2012-02-22 2012-12-20 Dual hard mask lithography process

Publications (2)

Publication Number Publication Date
DE112012005734T5 true DE112012005734T5 (de) 2014-11-13
DE112012005734B4 DE112012005734B4 (de) 2019-08-29

Family

ID=48982479

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112012005734.1T Active DE112012005734B4 (de) 2012-02-22 2012-12-20 Lithographieprozess und Lithographische Struktur mit doppelter Hartmaske

Country Status (5)

Country Link
US (2) US8916337B2 (de)
CN (1) CN104136994B (de)
DE (1) DE112012005734B4 (de)
GB (1) GB2511456B (de)
WO (1) WO2013126135A1 (de)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986921B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9287109B2 (en) * 2013-03-13 2016-03-15 Globalfoundries Inc. Methods of forming a protection layer to protect a metal hard mask layer during lithography reworking processes
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9093387B1 (en) * 2014-01-08 2015-07-28 International Business Machines Corporation Metallic mask patterning process for minimizing collateral etch of an underlayer
US9825116B1 (en) 2015-03-11 2017-11-21 Hrl Laboratories, Llc Formation of high-resolution patterns inside deep cavities and applications to RF SI-embedded inductors
US9418868B1 (en) * 2015-03-13 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions
WO2017049267A1 (en) * 2015-09-19 2017-03-23 Applied Materials, Inc. Titanium-compound based hard mask films
US9570397B1 (en) 2015-12-10 2017-02-14 International Business Machines Corporation Local interconnect structure including non-eroded contact via trenches
US9412648B1 (en) 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
KR102142795B1 (ko) * 2016-02-02 2020-09-14 도쿄엘렉트론가부시키가이샤 선택적 증착을 이용한 금속 및 비아의 자기 정렬
WO2017156388A1 (en) * 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US9673199B1 (en) 2016-06-21 2017-06-06 International Business Machines Corporation Gate cutting for a vertical transistor device
US10504774B2 (en) * 2016-07-20 2019-12-10 Globalfoundries Inc. Lithographic patterning to form fine pitch features
US9671694B1 (en) * 2016-09-30 2017-06-06 International Business Machines Corporation Wet strippable gap fill materials
US10032632B2 (en) * 2016-10-04 2018-07-24 International Business Machines Corporation Selective gas etching for self-aligned pattern transfer
US9953865B1 (en) 2016-10-26 2018-04-24 International Business Machines Corporation Structure and method to improve FAV RIE process margin and electromigration
US10082736B2 (en) * 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
CN109755126B (zh) * 2017-11-07 2021-02-12 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US10964779B2 (en) * 2018-11-13 2021-03-30 International Business Machines Corporation Vertical plate capacitors exhibiting high capacitance manufactured with directed self-assembly
US11127825B2 (en) 2019-03-22 2021-09-21 International Business Machines Corporation Middle-of-line contacts with varying contact area providing reduced contact resistance
CN112133625B (zh) * 2019-06-24 2024-05-21 长鑫存储技术有限公司 掩膜结构及其形成方法、存储器及其形成方法
CN112382607B (zh) * 2020-10-28 2023-08-11 上海华力集成电路制造有限公司 铜制程金属沟槽的制作方法
CN113097145B (zh) * 2021-03-30 2022-04-22 长鑫存储技术有限公司 半导体结构的制备方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2284300B (en) 1993-11-10 1997-11-19 Hyundai Electronics Ind Process for forming fine pattern of semiconductor device
KR100206597B1 (ko) 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
KR20030007904A (ko) * 2000-06-06 2003-01-23 이케이씨 테크놀로지, 인코포레이티드 전자 재료 제조 방법
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
JP2002009056A (ja) 2000-06-22 2002-01-11 Mitsubishi Electric Corp 微細パターン形成方法およびその方法により製造した装置
US7435074B2 (en) 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7585614B2 (en) 2004-09-20 2009-09-08 International Business Machines Corporation Sub-lithographic imaging techniques and processes
US20070066047A1 (en) * 2005-09-18 2007-03-22 Jianhui Ye Method of forming opening and contact
US7435676B2 (en) * 2006-01-10 2008-10-14 International Business Machines Corporation Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US7642184B2 (en) 2007-03-16 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for dual damascene process
US8158334B2 (en) * 2008-01-14 2012-04-17 International Business Machines Corporation Methods for forming a composite pattern including printed resolution assist features
US8062971B2 (en) * 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process
US7862982B2 (en) 2008-06-12 2011-01-04 International Business Machines Corporation Chemical trim of photoresist lines by means of a tuned overcoat material
JP2010135624A (ja) * 2008-12-05 2010-06-17 Tokyo Electron Ltd 半導体装置の製造方法
US8313889B2 (en) * 2009-04-01 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning method using metallic compound mask layer
US7994060B2 (en) 2009-09-01 2011-08-09 International Business Machines Corporation Dual exposure track only pitch split process
US8008206B2 (en) 2009-09-24 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8298935B2 (en) * 2010-11-22 2012-10-30 United Microelectronics Corp. Dual damascene process

Also Published As

Publication number Publication date
US20130216776A1 (en) 2013-08-22
DE112012005734B4 (de) 2019-08-29
CN104136994B (zh) 2017-11-21
WO2013126135A1 (en) 2013-08-29
US20140110846A1 (en) 2014-04-24
GB2511456A (en) 2014-09-03
CN104136994A (zh) 2014-11-05
US8916337B2 (en) 2014-12-23
GB2511456B (en) 2015-01-28
GB201410024D0 (en) 2014-07-16
US9373580B2 (en) 2016-06-21

Similar Documents

Publication Publication Date Title
DE112012005734B4 (de) Lithographieprozess und Lithographische Struktur mit doppelter Hartmaske
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
EP1696477B1 (de) Verfahren zur Herstellung von sublithographischen Strukturen
DE102014019374B4 (de) Zuletzt geschnittene selbstadjustierende Litho-Ätz Strukturierung
US9240346B2 (en) Double patterning method
DE112012000932B4 (de) Verbessertes Seitenwand-Bildübertragungsverfahren
DE102010000033B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements
EP1444724B1 (de) Photolithographisches strukturierungsverfahren mit einer durch ein plasmaverfahren abgeschiedenen kohlenstoff-hartmaskenschicht mit diamantartiger härte
EP2279517B1 (de) Verfahren zur formung von durch halbleitersubstrate gestützten strukturen
DE102017128235A1 (de) Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen
DE102016123943A1 (de) Halbleiterverfahren und -vorrichtungen
DE102014019674A1 (de) Selbstjustierte cut-first-strukturierung durch lithografie und ätzen
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
DE102014117338A1 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102006030267A1 (de) Nano-Einprägetechnik mit erhöhter Flexibilität in Bezug auf die Justierung und die Formung von Strukturelementen
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE112010003269B4 (de) Struktur mit kopplung zwischen strukturen mit sublithographischem rasterabstand und strukturen mit lithographischem rasterabstand und verfahren zur herstellung der struktur
DE102008049727A1 (de) Kontaktelemente und Kontaktdurchführungen eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung hergestellt sind
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102007026879A1 (de) Verfahren zum Herstellen einer Struktur auf oder in einem Substrat, Abbildungsschicht zum Erzeugen sublithographischer Strukturen, Verfahren zum Invertieren eines sublithographischen Musters, durch Herstellung einer Struktur erhältliche Einrichtung
DE102009046242A1 (de) Herstellung von Kontaktdurchführungen mit unterschiedlicher Größe eines Halbleiterbauelements durch Aufteilen des Kontaktlochstrukturierungsprozesses
DE102021101467A1 (de) Halbleiterstrukturierung und resultierende strukturen
DE102007035898B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102020122500A1 (de) Luft-spacer-strukturen
DE102010038736A1 (de) Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0001680000

Ipc: H01L0021027000

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, NY, US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE