DE112012004143B4 - Verfahren zum Ausbilden einer Halbleiterstruktur mit hochselektivem Nitridätzprozess - Google Patents

Verfahren zum Ausbilden einer Halbleiterstruktur mit hochselektivem Nitridätzprozess Download PDF

Info

Publication number
DE112012004143B4
DE112012004143B4 DE112012004143.7T DE112012004143T DE112012004143B4 DE 112012004143 B4 DE112012004143 B4 DE 112012004143B4 DE 112012004143 T DE112012004143 T DE 112012004143T DE 112012004143 B4 DE112012004143 B4 DE 112012004143B4
Authority
DE
Germany
Prior art keywords
fluorohydrocarbon
silicon nitride
silicon
thickness
containing polymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112012004143.7T
Other languages
English (en)
Other versions
DE112012004143T5 (de
Inventor
Masahiro Nakamura
Michael A. Guillorn
Nicholas C. M. Fuller
Sebastian U. Engelmann
Josephine B. Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zeon Corp
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Zeon Corp
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zeon Corp, GlobalFoundries Inc filed Critical Zeon Corp
Publication of DE112012004143T5 publication Critical patent/DE112012004143T5/de
Application granted granted Critical
Publication of DE112012004143B4 publication Critical patent/DE112012004143B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Verfahren zum Ausbilden einer Halbleiterstruktur, das aufweist:Ausbilden eines Siliciumabschnitts (110), eines Siliciumoxidabschnitts (120) und eines Siliciumnitridabschnitts (160) auf einem Halbleitersubstrat (108); undanisotropes Ätzen des Siliciumnitridabschnitts unter Einsatz eines fluorkohlenwasserstoffhaltigen Plasmas, das CHF-Ionen beinhaltet, wobei x eine aus 3, 4 und 5 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist, wobei ein erstes fluorkohlenwasserstoffhaltiges Polymer (117) mit einer ersten Dicke auf dem Siliciumabschnitt (110) ausgebildet wird, ein zweites fluorkohlenwasserstoffhaltiges Polymer (127) mit einer zweiten Dicke auf dem Siliciumoxidabschnitt (120) ausgebildet wird und ein drittes fluorkohlenwasserstoffhaltiges Polymer (167) mit einer dritten Dicke auf dem Siliciumnitridabschnitt (160) ausgebildet wird, wobei die erste Dicke größer als die zweite Dicke ist und größer als die dritte Dicke ist, wobei das CHFAlken- und/oder Alkin-Fluorkohlenwasserstoff beinhaltet.

Description

  • HINTERGRUND
  • Die vorliegende Offenbarung bezieht sich auf Verfahren zur Halbleiterbearbeitung und im Besonderen auf Verfahren zum anisotropen Ätzen von Siliciumnitrid mit hoher Selektivität gegenüber Silicium und Siliciumoxid und auf Strukturen zum Bewirken desselben.
  • Ein gegenüber mehr als einem Material selektives Ätzen von Siliciumnitrid ist sehr anspruchsvoll. Häufig wird insbesondere im Nanobereich ein schlechter Erfolg bei selektivem Ätzen von Siliciumnitrid beobachtet.
  • Ein Beispiel für einen schlechten Erfolg bei Selektivität eines Nitridätzprozesses wird bei dem Abstandselementmodul beobachtet, in dem Siliciumnitrid-Abstandselemente ausgebildet werden, um Gate-Elektroden gegenüber Source- und Drain-Bereichen elektrisch zu isolieren. Ein Mangel an ausreichender Selektivität führt zu einer Ausbildung von Vertiefungen innerhalb von Abschnitten des Halbleitermaterials, die nicht durch die Gate-Elektroden bedeckt werden.
  • Ein weiteres Beispiel für einen schlechten Erfolg bei Selektivität einer Nitridätzung wird bei einer Grabensilicidätzung beobachtet, bei der eine Siliciumnitridschicht als Ätzstoppschicht eingesetzt wird. Ein Mangel an ausreichender Selektivität während der Ätzung der Siliciumnitridschicht führt dazu, dass eine dielektrische Schicht zu stark geätzt wird oder dass eine Vertiefung in dem Silicid selbst ausgebildet wird.
  • In einem typischen Siliciumnitrid-Ätzprozess werden CHxFy-Gase mit einem Kohlenstoffatom eingesetzt, denen Ar-, H2-, N2- und/oder O2-Gase beigemischt sind. Ein Fluorkohlenwasserstoffplasma, das in einem solchen Siliciumnitrid-Ätzprozess eingesetzt wird, ist gegenüber Siliciumoxid selektiv, d.h. es ätzt Siliciumoxid nicht. Selektivität gegenüber Silicium wird durch Beimischen des O2-Gases vereinfacht, das Silicium in Siliciumoxid umwandelt und so ein weiteres Abtragen von Silicium bei einer Ausbildung einer Siliciumoxidschicht verhindert. Varianten des Siliciumätzprozesses werden in solchen Modulen wie zum Beispiel einem Grabensilicidmodul eingesetzt.
  • Einer der Nachteile der Siliciumnitridätzung unter Einsatz von CH3F- und O2-Gasen besteht darin, dass die Selektivität gegenüber Silicium dem Ätzprozess nicht inhärent ist und dass sich die Selektivität gegenüber Silicium auf eine Umwandlung von Silicium in Siliciumoxid stützt. Im Nanobereich erfordert die Umwandlung von Silicium in Siliciumoxid jedoch einen verhältnismäßig erheblichen Siliciumverbrauch. Infolgedessen bietet der Siliciumnitrid-Ätzprozess nach dem Stand der Technik im Nanobereich keine hohe Selektivität gegenüber Silicium.
  • Die US 4 529 476 A betrifft ein Verfahren zum selektiven Ätzen von Siliciumnitrid in einem Plasma mit einem Reaktionsgas, welches zumindest einen reaktiven gasförmigen Fluorkohlenwasserstoff enthält, und die Verwendung des Verfahrens beim Herstellen einer Halbleitervorrichtung, z. B. mittels der bekannten Parallel-P latten-Anordnung.
  • Die US 6 004 878 A betrifft ein Verfahren zur Herstellung von integrierten Halbleiterschaltungen, insbesondere ein Verfahren zum Entfernen von Silizid-Stringern, die sich bei der Herstellung von MOS-Transistorstrukturen bilden können.
  • Die US 2010 / 0 200 926 A1 betrifft ein Verfahren zum Bilden von Speichergeräten, insbesondere ein Verfahren zum Bilden von Kontaktstrukturen von Speichergeräten.
  • Die US 2011 / 0 068 086 A1 betrifft ein Plasmaätzverfahren, das ein Ätztarget bei Plasmabedingungen unter Verwendung eines Prozessgases, das einen bestimmten Fluorkohlenwasserstoff beinhaltet, ätzt.
  • KURZDARSTELLUNG
  • Eine anisotrope Ätzung von Siliciumnitrid stellt Selektivität gegenüber Silicium und Siliciumoxid durch Ausbilden eines fluorkohlenwasserstoffhaltigen Polymers auf Siliciumflächen und Siliciumoxidflächen bereit. Eine selektive Abscheidung von Fluorkohlenwasserstoff wird eingesetzt, um Selektivität gegenüber Nichtnitridflächen bereitzustellen. Das fluorkohlenwasserstoffhaltige Polymer tritt mit Siliciumnitrid in Wechselwirkung, um eine flüchtige Verbindung zu bilden, wodurch ein Ätzen von Siliciumnitrid ermöglicht wird. Das fluorkohlenwasserstoffhaltige Polymer tritt bei einer niedrigen Reaktionsgeschwindigkeit mit Siliciumoxid in Wechselwirkung und verzögert oder beendet das Ätzen von Siliciumoxid vollständig. Das fluorkohlenwasserstoffhaltige Polymer tritt nicht mit Silicium in Wechselwirkung und schützt das Silicium vor dem Plasma. Die anisotrope Ätzung von Siliciumnitrid kann eingesetzt werden, um Siliciumnitrid selektiv gegenüber Silicium und Siliciumoxid in einer beliebigen Größenordnung zu ätzen, darunter bei kleinen Abmessungen von weniger als 50 nm.
  • Es ist daher eine Aufgabe der Erfindung, ein Verfahren zum Ausbilden einer Halbleiterstruktur; das eine anisotrope selektive Ätzung von Siliciumnitrid gegenüber Silicium und Siliciumoxid bewirkt, und eine Halbleiterstruktur, die unter Einsatz einer anisotropen selektiven Ätzung von Siliciumnitrid gegenüber Silicium und Siliciumoxid hergestellt wird, zu schaffen.
  • Die der Erfindung zugrunde liegenden Aufgaben werden mit den Merkmalen der unabhängigen Patentansprüche gelöst. Ausführungsformen der Erfindung sind in den abhängigen Ansprüchen angegeben.
  • Figurenliste
    • 1 ist eine schematische vertikale Querschnittsansicht einer ersten beispielhaften Struktur vor einer anisotropen Ätzung unter Einsatz eines fluorkohlenwasserstoffhaltigen Plasmas gemäß einer ersten Verfahrensausführungsform der vorliegenden Offenbarung.
    • 2 ist eine schematische vertikale Querschnittsansicht der ersten beispielhaften Struktur nach einer anisotropen Ätzung unter Einsatz eines fluorkohlenwasserstoffhaltigen Plasmas gemäß einer ersten Verfahrensausführungsform der vorliegenden Offenbarung.
    • 3 ist eine schematische vertikale Querschnittsansicht einer zweiten beispielhaften Struktur nach einer Ausbildung von Gate-Stapeln und einer Siliciumnitridschicht zur Erläuterung einer zweiten Verfahrensausführungsform der vorliegenden Offenbarung.
    • 4 ist eine schematische vertikale Querschnittsansicht der zweiten beispielhaften Struktur zu Beginn eines Überätzungsschritts gemäß einer zweiten Verfahrensausführungsform der vorliegenden Offenbarung.
    • 5 ist eine vergrößerte Ansicht von 4.
    • 6 ist eine schematische vertikale Querschnittsansicht der zweiten beispielhaften Struktur während des Überätzungsschritts gemäß der zweiten Verfahrensausführungsform der vorliegenden Offenbarung.
    • 7 ist eine vergrößerte Ansicht von 6.
    • 8 ist eine schematische vertikale Querschnittsansicht der zweiten beispielhaften Struktur nach einer Ausbildung von zumindest einer dielektrischen Schicht auf der Kontaktebene.
    • 9 ist eine schematische vertikale Querschnittsansicht einer dritten beispielhaften Struktur nach einer Ausbildung einer Siliciumnitriddecklage und zumindest einer dielektrischen Schicht auf der Kontaktebene gemäß einer dritten Verfahrensausführungsform der vorliegenden Offenbarung.
    • 10 ist eine schematische vertikale Querschnittsansicht der dritten beispielhaften Struktur nach einer Ausbildung von Durchkontaktierungsöffnungen innerhalb der zumindest einen dielektrischen Schicht auf der Kontaktebene gemäß der dritten Verfahrensausführungsform der vorliegenden Offenbarung.
    • 11 ist eine schematische vertikale Querschnittsansicht der dritten beispielhaften Struktur nach einer Durchbruchätzung durch die Siliciumnitriddecklage gemäß der dritten Verfahrensausführungsform der vorliegenden Offenbarung.
    • 12 ist eine schematische vertikale Querschnittsansicht der dritten beispielhaften Struktur nach einer Ausbildung von Durchkontaktierungsstrukturen innerhalb der Durchkontaktierungsöffnungen gemäß der dritten Verfahrensausführungsform der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Wie oben angegeben, bezieht sich die vorliegende Offenbarung auf Verfahren zum anisotropen Ätzen von Siliciumnitrid mit hoher Selektivität gegenüber Silicium und Siliciumoxid für verschiedene Strukturen, die nun anhand von beigefügten Figuren ausführlich beschrieben werden. In sämtlichen Zeichnungen werden dieselben Bezugszeichen oder Buchstaben verwendet, um gleiche oder gleichartige Elemente zu kennzeichnen. Die Zeichnungen sind nicht zwingend maßstabsgetreu dargestellt.
  • Unter Bezugnahme auf 1 beinhaltet eine erste beispielhafte Struktur zur Erläuterung einer ersten Verfahrensausführungsform der vorliegenden Offenbarung ein Substrat 108, einen Siliciumabschnitt 110, der sich auf einem Abschnitt einer oberen Fläche des Substrats 108 befindet, einen Siliciumoxidabschnitt 120, der sich auf einem weiteren Abschnitt der oberen Fläche des Substrats 108 befindet, und einen Siliciumnitridabschnitt, der sich auf einem noch weiteren Abschnitt der oberen Fläche des Substrats 108 befindet. Das Substrat 108 kann ein Halbleitermaterial wie zum Beispiel einkristallines Silicium, Polysilicium, amorphes Silicium, eine Silicium-Germanium-Legierung, eine Silicium-Kohlenstoff-Legierung, eine Silicium-Germanium-Kohlenstoff-Legierung, ein III-V-Verbindungshalbleitermaterial, ein II-VI-Verbindungshalbleitermaterial oder eine Kombination davon beinhalten. Alternativ oder zusätzlich kann das Substrat 108 ein Isolatormaterial wie zum Beispiel Siliciumoxid, dotierte Derivate von Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, ein dielektrisches Metalloxid mit einer höheren Dielektrizitätskonstante als 3,9 oder eine Kombination davon beinhalten. Alternativ oder zusätzlich kann das Substrat 108 ein Metallmaterial wie zum Beispiel Cu, W, Ti, Ta, Al, WN, TiN, TaN, WC, TiC, TiC oder Legierungen davon beinhalten. Die obere Fläche des Substrats 108 kann beliebige der Materialien beinhalten, die für das Substrat 108 eingesetzt werden können.
  • Der Siliciumabschnitt 110 beinhaltet einkristallines Silicium, Polysilicium, amorphes Silicium oder eine Legierung von Silicium mit zumindest einem weiteren Halbleitermaterial, in dem Silicium ein dominierendes Material ist (d.h. mit einer Atomkonzentration von mehr als 50 %). Der Siliciumabschnitt 110 kann mit elektrischen Dotierstoffen wie zum Beispiel B, Ga, In, P, As und Sb dotiert sein. Der Siliciumabschnitt 110 kann von einem Abschnitt des Substrats 108 stammen, das Silicium oder eine Siliciumlegierung beinhaltet. Alternativ kann der Siliciumabschnitt 110 durch Abscheidung von Silicium oder einer Siliciumlegierung, beispielsweise durch chemische Gasphasenabscheidung (chemical vapor deposition, CVD), ausgebildet sein. Die Höhe h des Siliciumabschnitts 110 kann zwischen 3 nm und 3.000 nm betragen, wenngleich auch geringere und größere Höhen eingesetzt werden können.
  • Der Siliciumoxidabschnitt 120 beinhaltet undotiertes Siliciumoxid oder dotiertes Siliciumoxid. Wenn der Siliciumoxidabschnitt 120 dotiert ist, kann der Siliciumoxidabschnitt 120 Dotierstoffe wie zum Beispiel B, P, F und/oder As beinhalten. Der Siliciumoxidabschnitt 120 kann durch thermische oder Plasmaumwandlung von Silicium in ein Oxid, d.h. durch thermische Oxidation oder durch Plasmaoxidation von Silicium erhalten sein. Alternativ kann der Siliciumoxidabschnitt 120 durch Abscheidung von dotiertem oder undotiertem Siliciumoxid, beispielsweise durch chemische Gasphasenabscheidung (CVD), ausgebildet sein. Wenngleich die erste beispielhafte Struktur eine Gestaltung veranschaulicht, bei der der Siliciumoxidabschnitt 120 dieselbe Höhe h wie der Siliciumabschnitt 110 aufweist, kann die Höhe des Siliciumoxidabschnitts 120 im Allgemeinen mit der Höhe des Siliciumabschnitts 110 übereinstimmen oder sich davon unterscheiden. Der Siliciumoxidabschnitt 120 kann seitlich mit dem Siliciumabschnitt 110 in Kontakt stehen oder kann seitlich von dem Siliciumabschnitt 110 beabstandet sein.
  • Der Siliciumnitridabschnitt 160 beinhaltet Siliciumnitrid. Das Siliciumnitrid kann stöchiometrisch mit einem Atomverhältnis zwischen Silicium und Stickstoff von 3:4 sein, oder es kann nichtstöchiometrisch sein. Der Siliciumnitridabschnitt 160 kann durch thermische oder Plasmaumwandlung von Silicium in ein Nitrid, d.h. durch thermische Nitridation oder durch Plasmanitridation von Silicium erhalten sein. Alternativ kann der Siliciumnitridabschnitt 160 durch Abscheidung von Siliciumnitrid, beispielsweise durch chemische Gasphasenabscheidung (CVD), ausgebildet sein. Wenngleich die erste beispielhafte Struktur eine Gestaltung veranschaulicht, bei der der Siliciumnitridabschnitt 160 dieselbe Höhe h wie der Siliciumabschnitt 110 aufweist, kann die Höhe des Siliciumnitridabschnitts 160 im Allgemeinen mit der Höhe des Siliciumabschnitts 110 übereinstimmen oder sich davon unterscheiden. Der Siliciumnitridabschnitt 160 kann seitlich mit dem Siliciumabschnitt 110 und/oder dem Siliciumoxidabschnitt 120 in Kontakt stehen oder kann seitlich von dem Siliciumabschnitt 110 und/oder dem Siliciumoxidabschnitt 120 beabstandet sein.
  • Unter Bezugnahme auf 2 wird die erste beispielhafte Struktur in einer Prozesskammer platziert, die für eine Plasmaätzung, d.h. eine reaktive Ionenätzung, eingerichtet ist. Eine anisotrope Ätzung unter Einsatz eines fluorkohlenwasserstoffhaltigen Plasmas wird an der ersten beispielhaften Struktur durchgeführt. Die Zusammensetzung des Gases, das der Prozesskammer zugeführt wird, beinhaltet ein oder mehrere Fluorkohlenwasserstoffgase (im Folgenden als „das Fluorkohlenwasserstoffgas“ bezeichnet) mit einer Zusammensetzung von CxHyFz, wobei x eine aus 3, 4, 5 und 6 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist. Beispielsweise kann das in der vorliegenden Offenbarung eingesetzte Fluorkohlenwasserstoffgas eines oder mehrere von C3H5F3, C3H6F2, C3H7F, C3H4F2, C3H5F, C3H3F, C4H6F4, C4H7F3, C4H8F2, C4H9F, C4H5F3, C4H6F2, C4H7F, C4H4F2, C4H5F, C5H7F5, C5H8F4, C5H9F3, C5H10F2, C5H11F, C5H6F4, C5H7F3, C5H8F2, C5H9F, C5H5F3, C5H6F2, C5H7F, C6H8F6, C6H9F5, C6H10F4, C6H11F3, C6H12F2, C6H13F, C6H7F5, C6H8F4, C6H9F3, C6H10F2, C6H11F, C6H6F4, C6H7F3, C6H8F2 und C6H9F beinhalten. Dementsprechend beinhaltet das fluorkohlenwasserstoffhaltige Plasma Ionen von CxHyFz. Optional kann die Zusammensetzung des Gases, das der Prozesskammer zugeführt wird, des Weiteren O2, CO, CO2, N2, Ar, H2, He oder Kombinationen davon beinhalten. Mit anderen Worten, das fluorkohlenwasserstoffhaltige Plasma beinhaltet optional ein Plasma aus O2, CO, CO2, N2, Ar, H2, He oder Kombinationen davon zusätzlich zu dem Plasma aus CxHyFz.
  • Zu nichtbeschränkenden spezifischen Beispielen für CxHyFz, wobei x eine aus 3, 4, 5 und 6 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist, zählen Alkane, Alkene und Alkine.
  • Bei einer Ausführungsform kann das Fluorkohlenwasserstoffgas ein oder mehrere Alkan-Fluorkohlenwasserstoffgase mit der Formel CxHyFz beinhalten, wobei x eine aus 3, 4 und 5 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist. Das eine oder die mehreren Alkan-Fluorkohlenwasserstoffgase können beinhalten, ohne darauf beschränkt zu sein: gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C3H7F, wie zum Beispiel 1-Fluorpropan, 2-Fluorpropan; gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C3H6F2, wie zum Beispiel 1,1-Difluorpropan, 2,2-Difluorpropan, 1,2-Difluorpropan, 1,3-Difluorpropan; gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C3H5F3, wie zum Beispiel 1,1,1 -Trifluorpropan, 1,1,2-Trifluorpropan, 1,1,3-Trifluorpropan, 1,2,2-Trifluorpropan; gesättigten, cyclischen Fluorkohlenwasserstoff, dargestellt durch C3H5F, wie zum Beispiel Fluorcyclopropan; gesättigten, cyclischen Fluorkohlenwasserstoff, dargestellt durch C3H4F2, wie zum Beispiel 1,2-Difluorcyclopropan; gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C4H9F, wie zum Beispiel 1-Fluorbutan, 2-Fluorbutan; gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C4H8F2, wie zum Beispiel 1-Fluor-2-Methylpropan, 1,1-Difluorbutan, 2,2-Difluorbutan, 1,2-Difluorbutan, 1,3-Difluorbutan, 1,4-Difluorbutan, 2,3-Difluorbutan, 1,1-Difluor-2-methylpropan, 1,2-Difluor-2-methylpropan, 1,3-Difluor-2-methylpropan; gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C4H7F3, wie zum Beispiel 1,1,1-Trifluorbutan, 1,1,1-Trifluor-2-methylpropan, 1,1,2-Trifluorbutan, 1,1,3-Trifluorbutan, 1,1,4-Trifluorbutan, 2,2,3-Trifluorbutan, 2,2,4-Trifluorbutan, 1,1,2-Trifluor-2-methylpropan; gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C4H6F4, wie zum Beispiel 1,1,1,2-Tetrafluorbutan, 1,1,1,3-Tetrafluorbutan, 1,1,1,4-Tetrafluorbutan, 1,1,2,2-Tetrafluorbutan, 1,1,2,3-Tetrafluorbutan, 1,1,2,4-Tetrafluorbutan, 1,1,3,3-Tetrafluorbutan, 1,1,3,4-Tetrafluorbutan, 1,1,4,4-Tetrafluorbutan, 2,2,3,3-Tetrafluorbutan, 2,2,3,4-Tetrafluorbutan, 1,2,3,4-Tetrafluorbutan, 1,1,1,2-Tetrafluor-2-methylpropan, 1,1,1,3-Tetrafluor-2-methylpropan, 1,1,2,3-Tetrafluor-2-methylpropan, 1,1,3,3-Tetrafluor-2-methylpropan; gesättigten, cyclischen Fluorkohlenwasserstoff, dargestellt durch C4H7F, wie zum Beispiel Fluorcyclobutan; gesättigte, cyclische Fluorkohlenwasserstoffe, dargestellt durch C4H6F2, wie zum Beispiel 1,1-Difluorcyclobutan, 1,2-Difluorcyclobutan, 1,3-Difluorcyclobutan; gesättigten, cyclischen Fluorkohlenwasserstoff, dargestellt durch C4H5F3, wie zum Beispiel 1,1,2-Trifluorcyclobutan, 1,1,3-Trifluorcyclobutan; gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C5H11F, wie zum Beispiel 1-Fluorpentan, 2-Fluorpentan, 3-Fluorpentan, 1-Fluor-2-methylbutan, 1-Fluor-3-methylbutan, 2-Fluor-3-methylbutan, 1-Fluor-2,2-dimethylpropan; gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C5H10F2, wie zum Beispiel 1,1-Difluorpentan, 2,2-Difluorpentan, 3,3-Difluorpentan, 1,2-Difluorpentan, 1,3-Difluorpentan, 1,4-Difluorpentan, 1,5-Difluorpentan, 1,1-Difluor-2-methylbutan, 1,1-Difluor-3-methylbutan, 1,2-Difluor-2-methylbutan, 1,2-Difluor-3-methylbutan, 1,3-Difluor-2-methylbutan, 1,3-Difluor-3-methylbutan, 1,4-Difluor-2-methylbutan, 2,2-Difluor-3-methylbutan, 2,3-Difluor-2-methylbutan, 1,1-Difluor-2,2-dimethylpropan, 1,3-Difluor-2,2-dimethylpropan, 1-Fluor-2-fluormethylbutan; gesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C5H9F3, wie zum Beispiel 1,1,1-Trifluorpentan, 1,1,2-Trifluorpentan, 1,1,3-Trifluorpentan, 1,1,4-Trifluorpentan, 1,1,1-Trifluor-2-methylbutan, 1,1,2-Trifluor-2,3-dimethylpropan; gesättigte, cyclische Fluorkohlenwasserstoffe, dargestellt durch C5H9F, wie zum Beispiel Fluorcyclopentan, 1-Fluor-2-methylcyclobutan, 1-Fluor-3-methylcyclobutan, (Fluormethyl)-cyclobutan; gesättigte, cyclische Fluorkohlenwasserstoffe, dargestellt durch C5H8F2, wie zum Beispiel 1,2-Difluorcyclopentan, 1,3-Difluorcyclopentan, 1,1-Difluor-2-methylcyclobutan, 1,1-Difluor-3-methylcyclobutan; gesättigte, cyclische Fluorkohlenwasserstoffe, dargestellt durch C5H7F3, wie zum Beispiel 1,1,2-Trifluorcyclopentan, 1,2,3-Trifluorcyclopentan.
  • Zusätzlich oder alternativ kann das Fluorkohlenwasserstoffgas ein oder mehrere Alken-Fluorkohlenwasserstoffgase mit der Formel CxHyFz beinhalten, wobei x eine aus 3, 4 und 5 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist. Das eine oder die mehreren Alken-Fluorkohlenwasserstoffgase können beinhalten, ohne darauf beschränkt zu sein: ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C3H5F, wie zum Beispiel 3-Fluorpropen, 1-Fluorpropen, 2-Fluorpropen; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C3H4F2, wie zum Beispiel 1,1-Difluorpropen, 3,3-Difluorpropen; ungesättigte, cyclische Fluorkohlenwasserstoffe, dargestellt durch C3H3F, wie zum Beispiel 3-Fluorcyclopropen, 1-Fluorcyclopropen; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C4H7F, wie zum Beispiel 1-Fluorbuten, 2-Fluorbuten, 3-Fluorbuten, 4-Fluorbuten, 1-Fluor-2-buten, 2-Fluor-2-buten, 1-Fluor-2-methylpropen, 3-Fluor-2-methylpropen, 2-(Fluormethyl)-propen; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C4H6F2, wie zum Beispiel 1,1-Difluor-2-methylpropen, 3,3-Difluor-2-methylpropen, 2-(Fluormethyl)-fluorpropen, 3,3-Difluorbuten, 4,4-Difluorbuten, 1,2-Difluorbuten, 1,1-Difluor-2-buten, 1,4-Difluor-2-buten; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C4H5F3, wie zum Beispiel 4,4,4-Trifluorbuten, 3,3,4-Trifluorbuten, 1,1,1 -Trifluor-2-buten, 1,1,4-Trifluor-2-buten; ungesättigte, cyclische Fluorkohlenwasserstoffe, dargestellt durch C4H5F, wie zum Beispiel 1-Fluorcyclobuten, 3-Fluorcyclobuten; ungesättigte, cyclische Fluorkohlenwasserstoffe, dargestellt durch C4H4F2, wie zum Beispiel 3,3-Difluorcyclobuten, 3,4-Difluorcyclobuten; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C5H9F, wie zum Beispiel 1-Fluorpenten, 2-Fluorpenten, 3-Fluorpenten, 4-Fluorpenten, 5-Fluorpenten, 1-Fluor-2-penten, 2-Fluor-2-penten, 3-Fluor-2-penten, 4-Fluor-2-penten, 5-Fluor-2-penten, 1-Fluor-2-methylbuten, 1-Fluor-3-methylbuten, 3-Fluor-2-methylbuten, 3-Fluor-3-methylbuten, 4-Fluor-2-methylbuten, 4-Fluor-3-methylbuten, 1-Fluor-2-methyl-2-buten, 1-Fluor-3-methyl-2-buten, 2-Fluor-3-methyl-2-buten, 2-(Fluormethyl)-buten; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C5H8F2, wie zum Beispiel 3,3-Difluorpenten, 4,4-Difluorpenten, 5,5-Difluorpenten, 1,2-Difluorpenten, 3,4-Difluorpenten, 3,5-Difluorpenten, 4,5-Difluorpenten; ungesättigte, cyclische Fluorkohlenwasserstoffe, dargestellt durch C5H7F, wie zum Beispiel 1-Fluorcyclopenten, 3-Fluorcylopenten, 4-Fluorcyclopenten; ungesättigte, cyclische Fluorkohlenwasserstoffe, dargestellt durch C5H6F2, wie zum Beispiel 3,3-Difluorcyclopenten, 4,4-Difluorcyclopenten, 1,3-Difluorcyclopenten, 1,4-Difluorcyclopenten, 3,5-Difluorcyclopenten.
  • Zusätzlich oder alternativ kann das Fluorkohlenwasserstoffgas ein oder mehrere Alkin-Fluorkohlenwasserstoffgase mit der Formel CxHyFz beinhalten, wobei x eine aus 3, 4 und 5 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist. Das eine oder die mehreren Alkin-Fluorkohlenwasserstoffgase können beinhalten, ohne darauf beschränkt zu sein: ungesättigten lineare Fluorkohlenwasserstoff, dargestellt durch C3H3F, wie zum Beispiel 3-Fluorpropin; ungesättigten lineare Fluorkohlenwasserstoff, dargestellt durch C3H2F2, wie zum Beispiel 3,3-Difluorpropin; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C4H5F, wie zum Beispiel 3-Fluorbutin, 4-Fluorbutin, 1-Fluor-2-butin; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C4H4F2, wie zum Beispiel 3,3-Difluorbutin, 4,4-Difluorbutin, 3,4-Difluorbutin, 1,4-Difluor-2-butin; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C5H7F, wie zum Beispiel 3-Fluorpentin, 4-Fluorpentin, 5-Fluorpentin, 1-Fluor-2-pentin, 4-Fluor-2-pentin, 5-Fluor-2-pentin, 3-(Fluormethyl)-butin; ungesättigte lineare Fluorkohlenwasserstoffe, dargestellt durch C5H6F2, wie zum Beispiel 3,3-Difluorpentin, 4,4-Difluorpentin, 5,5-Difluorpentin, 3,4-Difluorpentin, 4,5-Difluorpentin, 1,1-Difluor-2-pentin, 4,4-Difluor-2-pentin, 5,5-Difluor-2-pentin, 4,5-Difluor-2-pentin, 3-(Difluormethyl)-butin, 3-(Fluormethyl)-4-fluorbutin.
  • Bei einer Reaktion mit Silicium in dem Siliciumabschnitt 110, mit Siliciumoxid in dem Siliciumoxidabschnitt 120 und mit Siliciumnitrid in dem Siliciumnitridabschnitt 110 erzeugt das fluorkohlenwasserstoffhaltige Plasma eine erhebliche Menge an Polymeren auf den oberen Flächen des Siliciumabschnitts 110 und des Siliciumoxidabschnitts 120. Die Menge von Polymeren auf den oberen Flächen des Siliciumabschnitts 110 und des Siliciumoxidabschnitts 120 ist erheblich genug, um mit Analysegeräten messbar zu sein, die nach dem Stand der Technik verfügbar sind, wie zum Beispiel der Auger-Elektronenspektroskopie (AES) oder der Röntgenphotoelektronenspektroskopie (XPS). Die Dicken der Polymere auf den oberen Flächen des Siliciumabschnitts 110 und des Siliciumoxidabschnitts 120 können abhängig von den Prozessbedingungen, die zum Erzeugen des fluorkohlenwasserstoffhaltigen Plasmas eingesetzt werden, von 0,1 nm bis 3 nm betragen.
  • Im Besonderen wird ein erstes fluorkohlenwasserstoffhaltiges Polymer 117 auf der oberen Fläche des Siliciumabschnitts 110 ausgebildet, ein zweites fluorkohlenwasserstoffhaltiges Polymer 127 wird auf der oberen Fläche des Siliciumoxidabschnitts 120 ausgebildet, und ein drittes fluorkohlenwasserstoffhaltiges Polymer 167 wird auf den oberen Flächen des Siliciumnitridabschnitts 160 ausgebildet. Das erste fluorkohlenwasserstoffhaltige Polymer 117, das zweite kohlenwasserstoffhaltige Polymer 127 und das dritte fluorkohlenwasserstoffhaltige Polymer 167 beinhalten Kohlenstoff, Wasserstoff und Fluor. Das zweite kohlenwasserstoffhaltige Polymer 127 beinhaltet des Weiteren Sauerstoff. Wenn der Prozesskammer O2 oder ein weiteres sauerstoffhaltiges Gas als eines der Quellgase zugeführt wird, beinhalten das erste fluorkohlenwasserstoffhaltige Polymer 117 und das zweite kohlenwasserstoffhaltige Polymer 127 des Weiteren Sauerstoff.
  • Bei einer Ausführungsform beinhalten das erste fluorkohlenwasserstoffhaltige Polymer 117 und das zweite fluorkohlenwasserstoffhaltige Polymer 127 Kohlenstoff mit einer Atomkonzentration zwischen 30 % und 40 %, Wasserstoff mit einer Atomkonzentration zwischen 40 % und 50 %, Fluor mit einer Atomkonzentration zwischen 5,0 % und 10,0 % und Sauerstoff mit einer Atomkonzentration von weniger als 5 %.
  • Das dritte fluorkohlenwasserstoffhaltige Polymer 167 beinhaltet Kohlenstoff, Wasserstoff, Fluor und optional Sauerstoff und beinhaltet darüber hinaus Stickstoff. Folglich beinhaltet das dritte fluorkohlenwasserstoffhaltige Polymer 167 eine stickstoffhaltige Verbindung, die durch Wechselwirkung des fluorkohlenwasserstoffhaltigen Plasmas mit dem Siliciumnitridabschnitt 160 ausgebildet wird. Bei der stickstoffhaltigen Verbindung handelt es sich um eine flüchtige Verbindung, die C, H, F und N beinhaltet. So, wie der Begriff hierin verwendet wird, bezieht sich eine flüchtige Verbindung auf eine Verbindung, die im Vakuum bei 297,3 K verdampft. Folglich verflüchtigt sich das dritte fluorkohlenwasserstoffhaltige Polymer 167 während der anisotropen Ätzung und wird von der oberen Fläche des Siliciumnitridabschnitts 160 entfernt.
  • Die Dicke des ersten fluorkohlenwasserstoffhaltigen Polymers 117 auf dem Siliciumabschnitt 110 während eines stationären Zustands der anisotropen Ätzung wird hierin als erste Dicke t1 bezeichnet. So, wie der Begriff hierin verwendet wird, bezieht sich ein stationärer Zustand einer Ätzung auf einen Zustand, in dem die Dicken der Ätznebenprodukte wie zum Beispiel Polymere sich nicht im Laufe der Zeit verändern. Die Dicke des zweiten fluorkohlenwasserstoffhaltigen Polymers 127 auf dem Siliciumoxidabschnitt 120 während des stationären Zustands der anisotropen Ätzung wird hierin als zweite Dicke t2 bezeichnet. Zum Zeitpunkt der Abscheidung weisen das erste, zweite und dritte fluorkohlenwasserstoffhaltige Polymer (117, 127, 167) dieselbe Zusammensetzung auf.
  • Der untere Abschnitt des dritten fluorkohlenwasserstoffhaltigen Polymers 167 tritt mit dem Siliciumnitridmaterial in dem Siliciumnitridabschnitt 160 in Wechselwirkung und verflüchtigt sich anschließend. Auf diese Weise bleibt die Dicke des dritten fluorkohlenwasserstoffhaltigen Polymers 167 unerheblich, und das dritte fluorkohlenwasserstoffhaltige Polymer 167 behindert die Wechselwirkung des fluorkohlenwasserstoffhaltigen Plasmas mit dem Siliciumnitridmaterial in dem Siliciumnitridabschnitt 160 nicht. Demgegenüber tritt das erste fluorkohlenwasserstoffhaltige Polymer 117 nicht mit dem darunterliegenden Siliciummaterial in Wechselwirkung. Des Weiteren tritt das zweite fluorkohlenwasserstoffhaltige Polymer 127 nicht erheblich mit dem darunterliegenden Siliciumoxid in dem Siliciumoxidabschnitt 120 in Wechselwirkung. Auf diese Weise behindern das erste fluorkohlenwasserstoffhaltige Polymer 117 und das zweite fluorkohlenwasserstoffhaltige Polymer 127 die Wechselwirkung des fluorkohlenwasserstoffhaltigen Plasmas mit dem Siliciumabschnitt 110 und mit dem Siliciumoxidabschnitt 120.
  • Da das erste fluorkohlenwasserstoffhaltige Polymer 117 und das zweite fluorkohlenwasserstoffhaltige Polymer 127 nicht mit dem darunterliegenden Siliciummaterial oder dem darunterliegenden Siliciumoxidmaterial in Wechselwirkung treten, ist die erste Dicke t1 nicht geringer als, d.h. ist entweder größer als oder gleich wie die dritte Dicke t3, und die zweite Dicke t2 ist nicht geringer als die dritte Dicke t3. Des Weiteren ist die erste Dicke t1 nicht geringer als die zweite Dicke t2, da das fluorkohlenwasserstoffhaltige Plasma mehr fluorkohlenwasserstoffhaltiges Polymer auf einer Siliciumfläche als auf einer Siliciumoxidfläche abscheidet.
  • Da die erste Dicke t1 nicht geringer als die zweite Dicke t2 ist und die zweite Dicke nicht geringer als die dritte Dicke ist, ätzt das fluorkohlenwasserstoffhaltige Plasma den Siliciumnitridabschnitt mit einer Ätzgeschwindigkeit, die nicht geringer als entsprechende Ätzgeschwindigkeiten für den Siliciumabschnitt 110 und den Siliciumoxidabschnitt 120 ist. Darüber hinaus ätzt das fluorkohlenwasserstoffhaltige Plasma den Siliciumoxidabschnitt 120 mit einer höheren Ätzgeschwindigkeit als den Siliciumabschnitt 110.
  • Die Kombination der Differenzen zwischen den Dicken der verschiedenen fluorkohlenwasserstoffhaltigen Polymere (110, 120, 160) und der Reaktion zwischen dem dritten fluorkohlenwasserstoffhaltigen Polymer 167 und dem darunterliegenden Siliciumnitridmaterial stellt eine hohe Selektivität für den anisotropen Ätzprozess bereit, sodass die anisotrope Ätzung Siliciumnitrid mit einer hohen Selektivität gegenüber Siliciumoxid und Silicium entfernt.
  • Die Vertiefungstiefe, um die die obere Fläche des Siliciumabschnitts 110 am Ende der anisotropen Ätzung im Verhältnis zu der ursprünglichen oberen Fläche des Siliciumabschnitts 110 vor der anisotropen Ätzung vertieft ist, wird hierin als erste Vertiefungstiefe d1 bezeichnet. Die Vertiefungstiefe, um die die obere Fläche des Siliciumoxidabschnitts 120 am Ende der anisotropen Ätzung im Verhältnis zu der ursprünglichen oberen Fläche des Siliciumoxidabschnitts 120 vor der anisotropen Ätzung vertieft ist, wird hierin als zweite Vertiefungstiefe d2 bezeichnet. Die Vertiefungstiefe, um die die obere Fläche des Siliciumnitridabschnitts 160 am Ende der anisotropen Ätzung im Verhältnis zu der ursprünglichen oberen Fläche des Siliciumnitridabschnitts 160 vor der anisotropen Ätzung vertieft ist, wird hierin als dritte Vertiefungstiefe d3 bezeichnet.
  • Bei einer Ausführungsform kann die anisotrope Ätzung eingesetzt werden, um einen Siliciumnitrid-Ätzprozess durchzuführen, der eine hohe Selektivität gegenüber Silicium und/oder Siliciumnitrid aufweist. So, wie der Begriff hierin verwendet wird, handelt es sich bei der Selektivität des Siliciumnitrid-Ätzprozesses im Verhältnis zu Silicium um das Verhältnis der dritten Vertiefungstiefe d3 zu der ersten Vertiefungstiefe d1. So, wie der Begriff hierin verwendet wird, handelt es sich bei der Selektivität des Siliciumätzprozesses im Verhältnis zu Siliciumoxid um das Verhältnis der dritten Vertiefungstiefe d3 zu der zweiten Vertiefungstiefe. Bei einer Ausführungsform kann die Selektivität des Siliciumnitrid-Ätzprozesses, der das oben beschriebene fluorkohlenwasserstoffhaltige Plasma einsetzt, im Verhältnis zu Silicium größer als 10 sein, wenn seitliche Abmessungen des Siliciumabschnitts 110 und die seitlichen Abmessungen des Siliciumnitridabschnitts 160 größer als 10 nm sind. Bei einer Ausführungsform kann die Selektivität des Siliciumnitrid-Ätzprozesses, der das oben beschriebene fluorkohlenwasserstoffhaltige Plasma einsetzt, im Verhältnis zu Siliciumoxid größer als 5 sein, wenn seitliche Abmessungen des Siliciumabschnitts 110 und die seitlichen Abmessungen des Siliciumoxidabschnitts 120 größer als 10 nm sind.
  • Bei herkömmlichen Siliciumnitrid-Ätzprozessen beträgt die Anzahl von Kohlenstoffatomen in dem Plasmavorläufergas weniger als 3. Des Weiteren ist die Anzahl von Fluoratomen in den Ionen des herkömmlichen Plasmas größer als die Anzahl von Wasserstoffatomen in den Molekülen eines herkömmlichen Plasmas. Die Selektivität des herkömmlichen Siliciumnitrid-Ätzprozesses im Verhältnis zu Siliciumoxid wird unter normalen Plasmabedingungen, d.h. wenn die Ionen des Plasmas eine Energie von mehr als 3,2· 10-17 J (200 eV) aufweisen und die Oxidätzmittelzufuhr durch überschüssigen Sauerstoff entfernt wird, durch die Kombination der Fähigkeit des Plasmas, Siliciumnitrid zu entfernen, und die Unfähigkeit des Plasmas, Siliciumoxid zu entfernen, bereitgestellt. Die Selektivität des herkömmlichen Siliciumnitrid-Ätzprozesses im Verhältnis zu Silicium wird indirekt durch Einbringen von Wasserstoffionen in das herkömmliche Plasma bereitgestellt, wodurch die Siliciumätzmittelzufuhr verringert wird und der Flächenabschnitt des freigelegten Siliciums in Siliciumoxid umgewandelt wird und ein weiteres Ätzen von Silicium verhindert wird.
  • Demgegenüber beträgt die Anzahl von Kohlenstoffionen in dem Molekül des fluorkohlenwasserstoffhaltigen Plasmas der vorliegenden Offenbarung zumindest 3. Des Weiteren ist in der vorliegenden Offenbarung die Anzahl von Wasserstoffatomen in dem Molekül des fluorkohlenwasserstoffhaltigen Plasmas größer als die Anzahl von Fluoratomen in dem Molekül des fluorkohlenwasserstoffhaltigen Plasmas. Auf diese Weise steigen die Atomverhältnisse von Kohlenstoff und Wasserstoff in dem ersten, zweiten und dritten fluorkohlenwasserstoffhaltigen Polymer (117, 127, 167) über die entsprechenden Atomverhältnisse in jedem beliebigen Polymer von herkömmlichen Siliciumnitrid-Ätzprozessen. Gleichzeitig ist das Atomverhältnis von Fluor in dem ersten, zweiten und dritten fluorkohlenwasserstoffhaltigen Polymer (117, 127, 167) geringer als das entsprechende Atomverhältnis in jedem beliebigen Polymer von herkömmlichen Siliciumnitrid-Ätzprozessen. Der erhöhte Kohlenstoffgehalt und der verringerte Fluorgehalt führen dazu, dass das erste, zweite und dritte fluorkohlenwasserstoffhaltige Polymer (117, 127, 167) wie abgeschieden bei geeigneten Plasmabedingungen durch das fluorkohlenwasserstoffhaltige Plasma nicht ätzbar sind. Das dritte fluorkohlenwasserstoffhaltige Polymer 167 wird jedoch durch eine Bildung einer stickstoffhaltigen flüchtigen Verbindung verringert, die durch eine Wechselwirkung zwischen dem dritten fluorkohlenwasserstoffhaltigen Polymer und dem darunterliegenden Siliciumnitridmaterial gebildet wird. Auf diese Weise besteht der Mechanismus zum Bereitstellen von Selektivität bei der Siliciumnitridätzung im Verhältnis zu Silicium und Siliciumoxid in einer Abscheidung eines fluorkohlenwasserstoffhaltigen Polymers auf Oxid- und Siliciumflächen, die durch das fluorkohlenwasserstoffhaltige Plasma nicht ätzbar sind.
  • Zusätzlich zu der Veränderung in der Qualität des fluorkohlenwasserstoffhaltigen Polymers der vorliegenden Offenbarung im Verhältnis zu jeglichen Polymerabscheidungen, die in herkömmlichen Siliciumnitrid-Ätzprozessen erzeugt werden, steigt die Menge des ersten, zweiten und dritten fluorkohlenwasserstoffhaltigen Polymers (117, 127, 167) je Einheit der Vertiefungstiefe für einen Siliciumnitridabschnitt erheblich über die Menge jeglichen Polymers, das in herkömmlichen Siliciumnitrid-Ätzprozessen erzeugt wird. Die Menge jeglichen Polymers ist, falls vorhanden, in den herkömmlichen Siliciumnitrid-Ätzprozessen üblicherweise durch Analysegeräte nicht messbar. Demgegenüber ist die Menge des ersten fluorkohlenwasserstoffhaltigen Polymers 117 und des zweiten fluorkohlenwasserstoffhaltigen Polymers 127 üblicherweise durch Analysegeräte wie zum Beispiel ein Auger-Elektronenspektrometer messbar.
  • Da fluorkohlenwasserstoffhaltige Polymere in dem anisotropen Ätzprozess der vorliegenden Offenbarung in erheblichen Mengen erzeugt werden, kann die Energie des fluorkohlenwasserstoffhaltigen Plasmas im Verhältnis zu der Energie, die für herkömmliche Siliciumnitrid-Ätzprozesse eingesetzt wird, erheblich verringert werden. So, wie der Begriff hierin verwendet wird, ist die Menge der fluorkohlenwasserstoffhaltigen Polymere „erheblich“, wenn die fluorkohlenwasserstoffhaltigen Polymere durch Analyseeinrichtungen nach dem Stand der Technik messbar sind. Auf diese Weise kann die hohe Selektivität des Siliciumnitrid-Ätzprozesses im Verhältnis zu Silicium und Siliciumnitrid eingesetzt werden, um die Energie der Ionen in dem fluorkohlenwasserstoffhaltigen Plasma so zu verringern, dass weniger Plasmaschäden an verschiedenen physisch freigelegten Flächen der ersten beispielhaften Struktur auftreten. Durch Verringern der Energie der Ionen in dem fluorkohlenwasserstoffhaltigen Plasma nimmt die Gesamtmenge an Polymeren ab, d.h. des ersten, zweiten und dritten fluorkohlenwasserstoffhaltigen Polymers (117, 127, 167), die während des anisotropen Ätzprozesses gebildet werden, der das fluorkohlenwasserstoffhaltige Plasma einsetzt.
  • Ionen in dem fluorkohlenwasserstoffhaltigen Plasma können eine beliebige Energie aufweisen, die bei herkömmlichem Plasmaätzen von Siliciumnitrid eingesetzt wird, das eine Mindestionenenergie von 3,2· 10-17 J (200 eV) erfordert, um Siliciumnitrid in erheblicher Weise zu ätzen. Demgegenüber können die Ionen in dem fluorkohlenwasserstoffhaltigen Plasma eine Energie von weniger als 3,2· 10-17 J (200 eV) aufweisen. Im Besonderen können die Ionen in dem fluorkohlenwasserstoffhaltigen Plasma der vorliegenden Offenbarung eine durchschnittliche kinetische Energie zwischen 1,6·10-18 J (10 eV) und 1,6·10-16 J (1 keV) aufweisen. Bei einer Ausführungsform können die Ionen in dem fluorkohlenwasserstoffhaltigen Plasma der vorliegenden Offenbarung eine durchschnittliche kinetische Energie in einem Bereich von 1,6·10-18 J (10 eV) bis 1,6·10-17 J (100 eV) aufweisen.
  • Das fluorkohlenwasserstoffhaltige Plasma der vorliegenden Offenbarung kann für verschiedene anisotrope Siliciumnitrid-Ätzprozesse in der Halbleiterfertigung eingesetzt werden, die eine hohe Selektivität im Verhältnis zu Silicium und/oder Siliciumnitrid erfordern. Zu solchen Anwendungen zählen, ohne darauf beschränkt zu sein, eine anisotrope Ätzung zum Ausbilden eines Siliciumnitrid-Gate-Abstandselements und eine Siliciumnitrid-Decklagenätzung, bei der es sich um eine Durchbruchätzung am Ende eines Prozesses zum Ausbilden von Hohlräumen von Durchkontaktierungen handelt.
  • Bei einer Ausführungsform sind das erste fluorkohlenwasserstoffhaltige Polymer 117 und das zweite fluorkohlenwasserstoffhaltige Polymer 127 nicht mit einem beliebigen fluorkohlenwasserstoffhaltigen Plasma in Abwesenheit von Sauerstoff und bei einer Plasmaenergie von weniger als 1,6·10-16 J (1 keV) ätzbar.
  • Die erste beispielhafte Struktur veranschaulicht die relativen Ätzgeschwindigkeiten eines Siliciumabschnitts 110, eines Siliciumoxidabschnitts 120 und eines Siliciumnitridabschnitts 160 unter Einsatz einer Anordnung, bei der der Siliciumabschnitt 110, der Siliciumoxidabschnitt 120 und der Siliciumnitridabschnitt 160 dieselbe Höhe h aufweisen. Es versteht sich, dass dieselbe Höhe h für den Siliciumabschnitt 110, den Siliciumoxidabschnitt 120 und den Siliciumnitridabschnitt 160 lediglich zur Veranschaulichung dient und dass sich die Höhen eines Siliciumabschnitts 110, eines Siliciumoxidabschnitts 120 und eines Siliciumnitridabschnitts 160 im Allgemeinen unterscheiden können. Des Weiteren ist die Koplanarität der oberen Flächen und der unteren Flächen des Siliciumabschnitts 110, des Siliciumoxidabschnitts 120 und des Siliciumnitridabschnitts 160 vor der Ätzung rein zufällig, und im Allgemeinen können die relativen Höhen und seitlichen Ausdehnungen jeweils eines Siliciumabschnitts 110, eines Siliciumoxidabschnitts 120 und eines Siliciumnitridabschnitts 160 in allgemeinen Halbleiterstrukturen variieren. Alle solchen Varianten werden hierin in Betracht gezogen. Das Verhältnis zwischen den verschiedenen Ätzgeschwindigkeiten und das Verhältnis zwischen den verschiedenen fluorkohlenwasserstoffhaltigen Polymeren sind in solchen geänderten Anordnungen dieselben.
  • Unter Bezugnahme auf 3 beinhaltet eine zweite beispielhafte Struktur zur Erläuterung einer zweiten Verfahrensausführungsform der vorliegenden Offenbarung ein Halbleitersubstrat 8, darauf ausgebildete Gate-Stapel und eine Siliciumnitridschicht 60L, die über dem Halbleitersubstrat 8 und den Gate-Stapeln ausgebildet ist. Das Halbleitersubstrat 8 beinhaltet eine Halbleiterschicht 10, die einen Halbleitermaterialabschnitt 10 beinhaltet. Der Halbleitermaterialabschnitt 10 beinhaltet ein Material auf der Grundlage von Silicium, bei dem es sich um ein beliebiges der Materialien handeln kann, die für den oben beschriebenen Siliciumabschnitt 110 eingesetzt werden können.
  • Eine flache Grabenisolationsstruktur 20, die Silicium beinhaltet, ist innerhalb des Halbleitermaterialabschnitts 10 in dem Halbleitersubstrat 8 ausgebildet. Die flache Grabenisolationsstruktur 20 kann zum Beispiel durch Ausbilden von flachen Gräben in dem Halbleitermaterialabschnitt 10 und Füllen der flachen Gräben mit Siliciumoxid ausgebildet werden, das zum Beispiel durch chemische Gasphasenabscheidung (CVD) abgeschieden werden kann. Überschüssige Abschnitte von Siliciumoxid können von der oberen Fläche des Halbleitermaterialabschnitts 10 zum Beispiel durch chemisch-mechanische Planarisierung (CMP) entfernt werden.
  • Gate-Stapel können zum Beispiel durch Ausbilden eines Stapels einer Gate-Dielektrikumschicht, einer Gate-Leiterschicht und einer optionalen Gate-Abdeckdielektrikumschicht und lithographisches Strukturieren des Stapels ausgebildet werden. Jeder Gate-Stapel kann von unten nach oben ein Gate-Dielektrikum 50, eine Gate-Elektrode 52 und optional ein Gate-Abdeckdielektrikum 54 beinhalten. Das Gate-Abdeckdielektrikum 54 kann Siliciumoxid oder Siliciumnitrid beinhalten. Die Seitenwände des Gate-Dielektrikums 50, der Gate-Elektrode 52 und des Gate-Abdeckdielektrikums 54 können vertikal übereinstimmen, d.h. in einer Draufsicht, d.h. einer Sicht von oben in einer Richtung senkrecht zu der horizontalen Ebene zwischen dem Halbleitermaterialabschnitt 10 und den Gate-Dielektrika 50, miteinander übereinstimmen.
  • Wenngleich hierin eine Variante einer Struktur veranschaulicht wird, die eine Ausbildung der Gate-Stapel (50, 53, 54) durch ein Schema für eine Gate-zuerst-Integration veranschaulicht, wird darauf hingewiesen, dass die Struktur, die zumindest einen Stapel aus einem Gate-Dielektrikum 50, einer Gate-Elektrode 52 und einem optionalen Gate-Abdeckdielektrikum 54 beinhaltet, durch Einsetzen eines beliebigen Verfahrens nach dem Stand der Technik ausgebildet werden kann, darunter das Gate-zuerst-Integrationsschema und ein Ersatz-Gate-Schema, das eine dielektrische Planarisierungsschicht einsetzt, um Gate-Hohlräume zum Ausbilden von Gate-Stapeln zu definieren, und anschließend die dielektrische Planarisierungsschicht entfernt, ohne auf dieses beschränkt zu sein.
  • Die Siliciumnitridschicht 60L kann zum Beispiel durch chemische Niederdruck-Gasphasenabscheidung (low pressure chemical vapor deposition, LPCVD), plasmaunterstützte chemische Gasphasenabscheidung (plasma enhanced chemical vapor deposition, PECVD), chemische Gasphasenabscheidung mittels hochdichtem Plasma (high density plasma chemical vapor deposition, HDPCVD), chemische Gasphasenabscheidung bei Unterdruck (subatmospheric chemical vapor deposition, SACVD) oder beliebige sonstige Abscheidungsverfahren für Siliciumnitrid nach dem Stand der Technik abgeschieden werden. Die Siliciumnitridschicht 60L kann konform oder nichtkonform sein. Die Siliciumnitridschicht 60L kann ein stöchiometrisches Siliciumnitrid oder ein nichtstöchiometrisches Siliciumnitrid beinhalten. Die Dicke der Siliciumnitridschicht 60L kann zwischen 3 nm und 300 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können. Optional kann vor der Abscheidung der Siliciumnitridschicht 60L ein weiteres (nicht dargestelltes) Gate-Abstandselement, das Siliciumoxid, Siliciumoxynitrid und/oder ein dielektrisches Metalloxid beinhaltet, direkt auf den Seitenwänden der Gate-Stapel (50, 52, 54) ausgebildet werden.
  • Unter Bezugnahme auf 4 und 5 wird die zweite beispielhafte Struktur in einer Prozesskammer platziert, die zum Durchführen einer anisotropen Ätzung darin eingerichtet ist. Eine anisotrope Ätzung, die das oben beschriebene fluorkohlenwasserstoffhaltige Plasma einsetzt, wird so an der zweiten beispielhaften Struktur durchgeführt, dass die Siliciumnitridschicht 60L anisotrop geätzt wird. Wie oben erörtert, wird das fluorkohlenwasserstoffhaltige Plasma durch Zersetzung von CxHyFz erzeugt, wobei x eine aus 3, 4, 5 und 6 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist.
  • Während der anisotropen Ätzung werden horizontale Abschnitte der Siliciumnitridschicht 60L vollständig entfernt, und eine obere Fläche des Halbleitermaterialabschnitts 10 und eine obere Fläche der flachen Grabenisolationsstruktur 20 werden gegenüber dem fluorkohlenwasserstoffhaltigen Plasma physisch freigelegt. 4 und 5 veranschaulichen die zweite beispielhafte Struktur in dem Moment des ersten physischen Freilegens des Halbleitermaterialabschnitts 10 und der oberen Fläche der flachen Grabenisolationsstruktur 20. Das vertikale Ausmaß zwischen der oberen Fläche des Gate-Abdeckdielektrikums 54 und der unteren Fläche der Gate-Dielektrika 50 werden hierin als Ausgangs-Gate-Stapelhöhe igsh des Gate-Stapels (50, 52, 54) bezeichnet.
  • Ein fluorkohlenwasserstoffhaltiges Polymer ist während der gesamten Dauer der anisotropen Ätzung auf horizontalen Flächen der Siliciumnitridschicht 60L vorhanden, auch in dem Moment, in dem die zweite beispielhafte Struktur eine in 4 und 5 veranschaulichte Anordnung aufweist. Das fluorkohlenwasserstoffhaltige Polymer wird hierin als drittes fluorkohlenwasserstoffhaltiges Polymer 167 bezeichnet und weist dieselben Eigenschaften wie das dritte fluorkohlenwasserstoffhaltige Polymer 167 in der ersten beispielhaften Struktur auf. Nach Entfernen der horizontalen Abschnitte der Siliciumnitridschicht 60L wird das dritte fluorkohlenwasserstoffhaltige Polymer 167 ebenfalls von dem Flächenbereich entfernt, von dem die horizontalen Abschnitte der Siliciumnitridschicht 60L entfernt werden. Nach Entfernen der horizontalen Abschnitte der Siliciumnitridschicht 60L bilden verbleibende Abschnitte der Siliciumnitridschicht 60L Siliciumnitrid-Abstandselemente 60, die die Gate-Stapel (50, 52, 54) seitlich umgeben.
  • Bei einer Variante der Struktur können die oberen Flächen der Siliciumnitrid-Abstandselemente 60 mit den oberen Flächen der Gate-Abdeckdielektrika 54 koplanar sein. Des Weiteren können in diesem Bearbeitungsschritt die obere Fläche der flachen Grabenisolationsstruktur 20 und die obere Fläche des Halbleitermaterialabschnitts 10 mit den untersten Flächen der Gate-Dielektrika 50, d.h. mit der Ebene, die die Grenzfläche zwischen dem Halbleitermaterialabschnitt 10 und den Gate-Dielektrika 50 beinhaltet, koplanar sein.
  • Die anisotrope Ätzung beinhaltet eine Überätzung, wobei es sich um den Abschnitt der anisotropen Ätzung handelt, der durchgeführt wird, nachdem die oberen Flächen des Halbleitermaterialabschnitts 10 und der flachen Grabenisolationsstruktur 20 physisch freigelegt worden sind. Die Ätzchemie und die Zusammensetzung und Energie des fluorkohlenwasserstoffhaltigen Plasmas können während der gesamten Dauer der anisotropen Ätzung einschließlich des Überätzungsschritts dieselben bleiben.
  • Unter Bezugnahme auf 6 und 7 werden die obere Fläche der flachen Grabenisolationsstruktur 20 und die obere Fläche des Halbleitermaterialabschnitts 10 während der Überätzung, bei der es sich um einen abschließenden Abschnitt der anisotropen Ätzung handelt, vertikal vertieft. Des Weiteren werden die oberen Flächen des Siliciumnitrid-Abstandselements 60 vertikal vertieft. Das vertikale Ausmaß, um das die obere Fläche des Halbleitermaterialabschnitts 10 vertieft wird, wird hierin als erstes Vertiefungsausmaß d1 bezeichnet. Das vertikale Ausmaß, um das die obere Fläche der flachen Grabenisolationsstruktur 20 vertieft wird, wird hierin als zweites Vertiefungsausmaß d2 bezeichnet. Das vertikale Ausmaß, um das die oberen Flächen der Siliciumnitrid-Abstandselemente 60 vertieft werden, wird hierin als drittes Vertiefungsausmaß d3 bezeichnet. Aus denselben Gründen wie den bei der ersten Verfahrensausführungsform erörterten ist das erste Ausmaß d1 nicht größer als das zweite Ausmaß d2 und ist das zweite Ausmaß d2 nicht größer als das dritte Ausmaß d3.
  • Ein erstes fluorkohlenwasserstoffhaltiges Polymer 117 wird auf der oberen Fläche des Halbleitermaterialabschnitts 10 auf dieselbe Weise wie auf der oberen Fläche des Siliciumabschnitts 110 (siehe 2) bei der ersten Verfahrensausführungsform ausgebildet. Ein zweites fluorkohlenwasserstoffhaltiges Polymer 127 wird auf der oberen Fläche der flachen Grabenisolationsstruktur auf dieselbe Weise wie auf der oberen Fläche des Siliciumoxidabschnitts 120 (siehe 2) bei der ersten Verfahrensausführungsform ausgebildet. Ein drittes fluorkohlenwasserstoffhaltiges Polymer 167 wird auf den oberen Flächen der Siliciumnitrid-Abstandselemente 60 auf dieselbe Weise wie auf der oberen Fläche des Siliciumnitridabschnitts 160 (siehe 2) bei der ersten Verfahrensausführungsform ausgebildet. Aus denselben Gründen wie den bei der ersten Verfahrensausführungsform erörterten ist die erste Dicke nicht geringer als, d.h. größer als oder gleich wie die zweite Dicke und ist die zweite Dicke nicht geringer als die dritte Dicke.
  • Bei der in 6 und 7 veranschaulichten zweiten beispielhaften Struktur handelt es sich um eine Halbleiterstruktur, die den Halbleitermaterialabschnitt 10 beinhaltet, der sich in dem Halbleitersubstrat 20 befindet, und die flache Grabenisolationsstruktur 20, die Siliciumoxid beinhaltet, ist innerhalb des Halbleitersubstrats 8 eingebettet und steht mit dem Halbleitermaterialabschnitt 10 in Kontakt. Des Weiteren beinhaltet die Halbleiterstruktur Gate-Stapel (50, 52, 54), die sich auf dem Halbleitermaterialabschnitt 10 befinden. Jeder Gate-Stapel (50, 52, 54) kann ein Gate-Dielektrikum 50, eine Gate-Elektrode 52 und ein Gate-Abdeckdielektrikum 54 beinhalten. Die Halbleiterstruktur beinhaltet außerdem Siliciumnitrid-Abstandselemente 60, die jeden Gate-Stapel (50, 52, 54) seitlich umgeben. Die obere Fläche des Halbleitermaterialabschnitts 10 ist von einer horizontalen Ebene, die eine Grenzfläche zwischen dem Gate-Dielektrikum 50 und dem Halbleitermaterialabschnitt 10 beinhaltet, um ein erstes Vertiefungsausmaß d1 vertikal vertieft. Die obere Fläche der flachen Grabenisolationsstruktur 20 ist von der horizontalen Ebene um ein zweites Vertiefungsausmaß d2 vertikal vertieft. Die oberen Flächen der Siliciumnitrid-Abstandselemente 60 sind von den ursprünglichen oberen Flächen der Gate-Abdeckdielektrika 54, die von der Grenzfläche zwischen dem Halbleitermaterialabschnitt 10 und den Gate-Dielektrika 50 um die ursprüngliche Gate-Stapelhöhe igsh vertikal versetzt sind, um ein drittes Vertiefungsausmaß d3 vertieft. Die oberen Flächen der Gate-Abdeckdielektrika 54 sind von den ursprünglichen oberen Flächen der Gate-Abdeckdielektrika 54 um ein viertes Vertiefungsausmaß d4 vertikal vertieft. Das Ausmaß zwischen den unteren Flächen der Gate-Dielektrika 50 und den oberen Flächen der Gate-Abdeckdielektrika 54, wie sie um das vierte Vertiefungsausmaß vertieft sind, wird hierin als modifizierte Gate-Stapelhöhe bezeichnet, die h - d4 beträgt. Die Differenz zwischen dem dritten Vertiefungsausmaß d3 und dem vierten Vertiefungsausmaß d4 wird hierin als vertikales Versatzausmaß vod bezeichnet. Ein (nicht dargestelltes) fluorkohlenwasserstoffhaltiges Polymer kann während und nach dem Ätzen der Gate-Abdeckdielektrika 54 über den Gate-Abdeckdielektrika 54 vorhanden sein.
  • Das erste Vertiefungsausmaß d1 ist nicht größer als das zweite Vertiefungsausmaß d2 und ist nicht größer als das dritte Vertiefungsausmaß d3. Bei einer Variante der Struktur ist das erste Vertiefungsausmaß d1 geringer als das zweite Vertiefungsausmaß d3 und ist geringer als das dritte Vertiefungsausmaß d3. Wenn die Gate-Abdeckdielektrika 54 Siliciumoxid beinhalten, kann d4 mit d2 übereinstimmen, und bei vod kann es sich um eine positive Menge handeln, d.h. die obere Fläche der Gate-Abdeckdielektrika 54 befindet sich über der oberen Fläche der Siliciumnitrid-Abstandselemente 60. Wenn die Gate-Abdeckdielektrika 54 Siliciumnitrid beinhalten, kann d4 mit d3 übereinstimmen, und vod kann null sein, d.h. die obere Fläche der Gate-Abdeckdielektrika 54 kann mit der oberen Fläche der Siliciumnitrid-Abstandselemente 60 koplanar sein, bei denen es sich um dielektrische Gate-Abstandselemente handelt.
  • Unter Bezugnahme auf 8 werden die verschiedenen fluorkohlenwasserstoffhaltigen Polymere (117, 127, 167) gereinigt, beispielsweise durch einen Nassreinigungsprozess. Zumindest eine dielektrische Schicht 80 auf der Kontaktebene kann anschließend über dem Halbleitersubstrat 8, den Gate-Stapeln (50, 52, 54) und den Siliciumnitrid-Abstandselementen 60 abgeschieden werden.
  • Unter Bezugnahme auf 9 beinhaltet eine dritte beispielhafte Struktur zur Erläuterung einer dritten Verfahrensausführungsform der vorliegenden Offenbarung ein Halbleitersubstrat 8, bei dem es sich um dasselbe wie das Halbleitersubstrat 8 der zweiten beispielhaften Struktur handeln kann. Des Weiteren beinhaltet die dritte beispielhafte Struktur Gate-Stapel (50, 52), bei denen es sich um dieselben wie die Gate-Stapel in der zweiten beispielhaften Struktur handeln kann, und kann optional die in 3 bis 8 veranschaulichten Gate-Abdeckdielektrika 54 beinhalten. Ein Gate-Abstandselement wird auf Seitenwänden jedes Gate-Stapels (50, 52) unter Einsatz von Verfahren nach dem Stand der Technik und/oder der Verfahren der zweiten Verfahrensausführungsform der vorliegenden Offenbarung ausgebildet.
  • Bei einer Variante einer Struktur können die äußeren Flächen des Gate-Abstandselements eine Siliciumoxidfläche beinhalten. Beispielsweise kann jedes Gate-Abstandselement ein optionales inneres Gate-Abstandselement 60' und ein äußeres Gate-Abstandselement 62 beinhalten, das ein dotiertes oder undotiertes Siliciumoxid beinhaltet. Das optionale innere Abstandselement 60' kann, wenn es vorhanden ist, ein dielektrisches Material wie zum Beispiel Siliciumnitrid, Siliciumoxynitrid, ein dielektrisches Metalloxid, ein dotiertes oder undotiertes Siliciumoxid mit einer Zusammensetzung beinhalten, die mit der Zusammensetzung des äußeren Gate-Abstandselements 62 übereinstimmt oder sich davon unterscheidet.
  • Eine Siliciumnitriddecklage 70 wird auf den physisch freigelegten Flächen des Halbleitersubstrats 8, den äußeren Flächen des äußeren Gate-Abstandselements 62 und den oberen Flächen der Gate-Stapel (50, 52) zum Beispiel durch chemische Gasphasenabscheidung (CVD) ausgebildet. Die Siliciumnitriddecklage 70 kann ein stöchiometrisches Siliciumnitrid oder ein nichtstöchiometrisches Siliciumnitrid beinhalten. Die Dicke der Siliciumnitriddecklage 70 kann zwischen 3 nm und 50 nm betragen, wenngleich auch geringere und größere Dicken eingesetzt werden können.
  • Zumindest eine dielektrische Schicht 80 auf der Kontaktebene wird über der Siliciumnitriddecklage 70 zum Beispiel durch chemische Gasphasenabscheidung abgeschieden. Die zumindest eine dielektrische Schicht 80 auf der Kontaktebene kann ein dotiertes oder undotiertes Siliciumoxid, Organosilicatglas und/oder ein dielektrisches Metalloxid beinhalten. Alternativ kann die zumindest eine dielektrische Schicht 80 auf der Kontaktebene einen vertikalen Stapel aus, von unten nach oben, einem anderen dielektrischen Material als Siliciumnitrid und einer Siliciumnitridschicht beinhalten. Bei dem anderen dielektrischen Material als Siliciumnitrid kann es sich um ein beliebiges von einem dotierten oder undotierten Siliciumoxid, Organosilicatglas und einem dielektrischen Metalloxid handeln. Die obere Fläche der zumindest einen dielektrischen Schicht 80 auf der Kontaktebene kann zum Beispiel durch chemisch-mechanische Planarisierung planarisiert werden.
  • Unter Bezugnahme auf 10 wird eine strukturierte Maskenstruktur über der Siliciumnitridschicht 70 ausgebildet. Beispielsweise kann ein Photolack 87 über der zumindest einen dielektrischen Schicht 80 auf der Kontaktebene aufgebracht werden und kann durch lithographische Belichtung und Entwicklung strukturiert werden. Eine Strukturierung, die verschiedene Öffnungen beinhaltet, wird innerhalb des Photolacks 87 ausgebildet.
  • Die Strukturierung in dem Photolack 87 wird in die zumindest eine dielektrische Schicht 80 auf der Kontaktebene durch eine anisotrope Ätzung übertragen, die eine beliebige geeignete Ätzchemie nach dem Stand der Technik zu dem Zweck einsetzen kann, das/die dielektrische(n) Material(ien) der zumindest einen dielektrischen Schicht 80 auf der Kontaktebene zu ätzen. Die Siliciumnitridschicht 70 kann als Stoppschicht für die anisotrope Ätzung eingesetzt werden, die dazu eingesetzt wird, die dielektrischen Materialien der zumindest einen dielektrischen Schicht 80 auf der Kontaktebene unterhalb der Öffnungen in dem Photolack 87 zu entfernen. Verschiedene Durchkontaktierungsöffnungen 89 werden ausgebildet, die sich von der oberen Fläche des Photolacks 87 bis zu der oberen Fläche der Siliciumnitridschicht erstrecken.
  • Nach dem physischen Freilegen der oberen Fläche der Siliciumnitriddecklage 70 auf dem Boden der verschiedenen Durchkontaktierungsöffnungen 89 kann eine weitere anisotrope Ätzung eingesetzt werden, die das oben beschriebene fluorkohlenwasserstoffhaltige Plasma einsetzt. Diese anisotrope Ätzung wird hierin als Durchbruchätzung bezeichnet, da der Zweck dieser anisotropen Ätzung darin besteht, die Siliciumnitridschicht 70 zu durchbrechen und die verschiedenen Durchkontaktierungsöffnungen 89 bis zu dem Halbleitermaterialabschnitt 10 und zu einem leitfähigen Abschnitt, d.h. der Gate-Elektrode 52 innerhalb jedes Gate-Stapels (50, 52, 54) zu erweitern. Die Kombination des Photolacks 87 und der zumindest einen dielektrischen Schicht 80 auf der Kontaktebene kann als strukturierte Maskenstruktur, d.h. als Ätzmaske für die Durchbruchätzung eingesetzt werden.
  • Das während der Durchbruchätzung eingesetzte Plasma kann dasselbe wie bei den ersten und zweiten Verfahrensausführungsformen beschrieben sein. Ein drittes fluorkohlenwasserstoffhaltiges Polymer 167, das dieselbe Zusammensetzung und Eigenschaft wie das dritte fluorkohlenwasserstoffhaltige Polymer 167 in der ersten und zweiten Verfahrensausführungsform aufweist, wird während der anisotropen Ätzung, d.h. der Durchbruchätzung, auf der physisch freigelegten Fläche der Siliciumnitriddecklage 70 ausgebildet. Das dritte fluorkohlenwasserstoffhaltige Polymer 167 beinhaltet dasselbe Material wie die ersten und zweiten fluorkohlenwasserstoffhaltigen Polymere (117, 127) der ersten und zweiten Verfahrensausführungsformen und beinhaltet des Weiteren eine flüchtige stickstoffhaltige Verbindung, die durch Wechselwirkung des fluorkohlenwasserstoffhaltigen Plasmas mit der Siliciumnitriddecklage 70 ausgebildet wird.
  • Die Siliciumnitriddecklage 70 wird auf dem Boden der verschiedenen Durchkontaktierungsöffnungen 89 durchgeätzt. Die anisotrope Ätzung wird während eines Überätzungsschritts fortgesetzt, der in die anisotrope Ätzung eingebunden ist, um sicherzustellen, dass sämtliches Siliciumnitridmaterial unterhalb der verschiedenen Durchkontaktierungsöffnungen 89 unabhängig von statistischen Schwankungen (z.B. von Durchlauf zu Durchlauf, von Wafer zu Wafer und/oder innerhalb eines Wafers) in der Dicke der Siliciumnitriddecklage 70 und/oder statistischen Schwankungen (z.B. von Durchlauf zu Durchlauf, von Wafer zu Wafer und/oder innerhalb eines Wafers) in der jeweiligen Ätzgeschwindigkeit der Durchbruchätzung auf dem Siliciumnitrid entfernt wird.
  • Unter Bezugnahme auf 11 werden während des Überätzungsschritts der Durchbruchätzung physisch freigelegte Abschnitte des Halbleitermaterialabschnitts 10 und des äußeren Gate-Abstandselements 62 vertieft. Ein erstes fluorkohlenwasserstoffhaltiges Polymer 117 mit einer ersten Dicke wird direkt auf dem Halbleitermaterialabschnitt 10 auf einem Boden einer Öffnung in der Siliciumnitriddecklage 70, d.h. auf einem Boden einer der verschiedenen Durchkontaktierungsöffnungen 89 ausgebildet. Ein zweites fluorkohlenwasserstoffhaltiges Polymer 127 mit einer zweiten Dicke (wie entlang einer Richtung gemessen, die lokal senkrecht zu einer vertieften äußeren Fläche des äußeren Gate-Abstandselements 62 ist) wird direkt auf Siliciumoxidflächen der äußeren Gate-Abstandselemente 62 ausgebildet. Das erste fluorkohlenwasserstoffhaltige Polymer 117 und das zweite fluorkohlenwasserstoffhaltige Polymer 127 werden durch denselben Mechanismus wie bei der ersten Verfahrensausführungsform erörtert ausgebildet, und dementsprechend können das erste fluorkohlenwasserstoffhaltige Polymer 117 und das zweite fluorkohlenwasserstoffhaltige Polymer 127 dieselbe Zusammensetzung wie bei der ersten Verfahrensausführungsform aufweisen. Aus bei der ersten Verfahrensausführungsform erörterten Gründen ist die erste Dicke nicht geringer als die zweite Dicke. Das erste fluorkohlenwasserstoffhaltige Polymer 117 und das zweite fluorkohlenwasserstoffhaltige Polymer 127 können dieselbe Zusammensetzung aufweisen, wie bei der ersten Verfahrensausführungsform erörtert.
  • Bei der dritten beispielhaften Struktur handelt es sich um eine Halbleiterstruktur, die einen Gate-Stapel (50, 52), der sich über dem Halbleitermaterialabschnitt 10 in dem Halbleitersubstrat 8 befindet, zumindest ein Gate-Abstandselement, das ein optionales inneres Gate-Abstandselement 60' und ein äußeres Gate-Abstandselement 62 beinhalten kann, das den Gate-Stapel (50, 52) seitlich umgibt und eine Siliciumoxidfläche auf einer äußeren Seitenwand davon aufweist, und eine Siliciumnitriddecklage 70 beinhaltet, die sich über dem Gate-Abstandselement (60', 62) und dem Halbleitermaterialabschnitt 10 befindet und eine Öffnung darin beinhaltet. Die Siliciumoxidfläche des äußeren Gate-Abstandselements 62' und eine obere Fläche des Halbleitermaterialabschnitts 10 liegen unterhalb der Öffnung. Das erste fluorkohlenwasserstoffhaltige Polymer 117 weist eine erste Dicke auf und befindet sich direkt auf der Fläche des Halbleitermaterialabschnitts 10 und auf einem Boden der Öffnung, d.h. einer der verschiedenen Durchkontaktierungsöffnungen 89. Das zweite fluorkohlenwasserstoffhaltige Polymer 127 weist eine zweite Dicke auf und befindet sich direkt auf der Siliciumoxidfläche. Die erste Dicke ist nicht geringer als die zweite Dicke.
  • Unter Bezugnahme auf 12 werden die verschiedenen fluorkohlenwasserstoffhaltigen Polymere entfernt, beispielsweise durch eine Nassreinigung. Ein leitfähiges Material wird innerhalb der verschiedenen Durchkontaktierungsöffnungen 89 abgeschieden. Nach dem Entfernen des überschüssigen leitfähigen Materials von der Oberseite der oberen Fläche der zumindest einen dielektrischen Schicht 80 auf der Kontaktebene werden verschiedene Durchkontaktierungsstrukturen 92 innerhalb der verschiedenen Durchkontaktierungsöffnungen 89 ausgebildet, um einen elektrischen Kontakt mit verschiedenen Halbleiterkomponenten in dem Halbleitersubstrat 8 und/oder in den Gate-Elektroden 58 bereitzustellen. Optional können vor der Ausbildung der verschiedenen Durchkontaktierungsstrukturen 92 verschiedene Metall-Halbleiter-Legierungsbereiche (38, 58) auf Halbleiterflächen auf dem Boden der verschiedenen Durchkontaktierungsöffnungen 89 ausgebildet werden.
  • Die Offenbarung ist zwar in Form von spezifischen Ausführungsformen beschrieben worden, angesichts der vorhergehenden Beschreibung ist es jedoch offenkundig, dass für Fachleute zahlreiche Alternativen, Modifizierungen und Varianten ersichtlich sind. Beispielsweise können die Verfahren der Ausführungsformen der vorliegenden Offenbarung auf Strukturen angewendet werden, zu denen finFET-Strukturen, Tri-Gate-Strukturen und eine Nanodrahtstruktur nach dem Stand der Technik zählen, ohne auf diese beschränkt zu sein.

Claims (13)

  1. Verfahren zum Ausbilden einer Halbleiterstruktur, das aufweist: Ausbilden eines Siliciumabschnitts (110), eines Siliciumoxidabschnitts (120) und eines Siliciumnitridabschnitts (160) auf einem Halbleitersubstrat (108); und anisotropes Ätzen des Siliciumnitridabschnitts unter Einsatz eines fluorkohlenwasserstoffhaltigen Plasmas, das CxHyFz-Ionen beinhaltet, wobei x eine aus 3, 4 und 5 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist, wobei ein erstes fluorkohlenwasserstoffhaltiges Polymer (117) mit einer ersten Dicke auf dem Siliciumabschnitt (110) ausgebildet wird, ein zweites fluorkohlenwasserstoffhaltiges Polymer (127) mit einer zweiten Dicke auf dem Siliciumoxidabschnitt (120) ausgebildet wird und ein drittes fluorkohlenwasserstoffhaltiges Polymer (167) mit einer dritten Dicke auf dem Siliciumnitridabschnitt (160) ausgebildet wird, wobei die erste Dicke größer als die zweite Dicke ist und größer als die dritte Dicke ist, wobei das CxHyFz Alken- und/oder Alkin-Fluorkohlenwasserstoff beinhaltet.
  2. Verfahren nach Anspruch 1, wobei das fluorkohlenwasserstoffhaltige Plasma den Siliciumnitridabschnitt (160) mit einer Ätzgeschwindigkeit ätzt, die größer als entsprechende Ätzgeschwindigkeiten für den Siliciumabschnitt (110) und den Siliciumoxidabschnitt (120) ist.
  3. Verfahren nach Anspruch 2, wobei das fluorkohlenwasserstoffhaltige Plasma den Siliciumoxidabschnitt (120) mit einer größeren Ätzgeschwindigkeit als den Siliciumabschnitt (110) ätzt.
  4. Verfahren nach Anspruch 1, wobei das dritte fluorkohlenwasserstoffhaltige Polymer (167) eine stickstoffhaltige Verbindung beinhaltet, die durch Wechselwirkung des fluorkohlenwasserstoffhaltigen Plasmas mit dem Siliciumnitridabschnitt (160) gebildet wird.
  5. Verfahren nach Anspruch 4, wobei es sich bei der stickstoffhaltigen Verbindung um eine flüchtige Verbindung handelt, die C, H, F und N beinhaltet.
  6. Verfahren nach Anspruch 1, wobei das fluorkohlenwasserstoffhaltige Plasma ein Plasma aus O2, CO, CO2, N2, Ar, H2, He oder Kombinationen davon beinhaltet.
  7. Verfahren nach Anspruch 1, wobei das fluorkohlenwasserstoffhaltige Plasma Ionen beinhaltet, die eine durchschnittliche kinetische Energie in einem Bereich von 16·10-19 J bis 160·10-19 J aufweisen.
  8. Verfahren zum Ausbilden einer Halbleiterstruktur, das aufweist: Ausbilden einer flachen Grabenisolationsstruktur (20), die Siliciumoxid beinhaltet, innerhalb eines Halbleitermaterialabschnitts (10) in einem Halbleitersubstrat (8); Ausbilden eines Gate-Stapels (50, 52, 54) auf dem Halbleitermaterialabschnitt (10); Ausbilden einer Siliciumnitridschicht (60L) auf dem Gate-Stapel (50, 52, 54), dem Halbleitermaterialabschnitt (10) und der flachen Grabenisolationsstruktur (20); und anisotropes Ätzen der Siliciumnitridschicht (60L), um ein Siliciumnitrid-Abstandselement (60) auszubilden, das den Gate-Stapel (50, 52, 54) seitlich umgibt, wobei eine obere Fläche der flachen Grabenisolationsstruktur (20) und eine obere Fläche des Halbleitermaterialabschnitts (10) während des anisotropen Ätzens physisch freigelegt und anschließend vertieft werden und ein erstes Vertiefungsausmaß, um das eine obere Fläche des Halbleitermaterialabschnitts (10) nach einem physischen Freilegen der oberen Fläche des Halbleitermaterialabschnitts (10) vertieft wird, geringer als ein zweites Vertiefungsausmaß ist, um das eine obere Fläche der flachen Grabenisolationsstruktur (20) nach dem physischen Freilegen der oberen Fläche des Halbleitermaterialabschnitts (10) vertieft wird, und geringer als ein drittes Vertiefungsausmaß ist, um das eine obere Fläche des Siliciumnitrid-Abstandselements nach (60) dem physischen Freilegen der oberen Fläche des Halbleitermaterialabschnitts (10) vertieft wird, wobei die Siliciumnitridschicht (60L) unter Einsatz eines fluorkohlenwasserstoffhaltigen Plasmas geätzt wird, wobei das fluorkohlenwasserstoffhaltige Plasma CxHyFz-Ionen beinhaltet, wobei x eine aus 3, 4 und 5 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist, wobei eine erste Dicke eines ersten fluorkohlenwasserstoffhaltigen Polymers (117), das auf der oberen Fläche des Halbleitermaterialabschnitts (10) ausgebildet wird, größer als eine zweite Dicke eines zweiten fluorkohlenwasserstoffhaltigen Polymers (127) ist, das auf der oberen Fläche der flachen Grabenisolationsstruktur (20) ausgebildet wird, und größer als eine dritte Dicke eines dritten fluorkohlenwasserstoffhaltigen Polymers (167) ist, das auf der oberen Fläche des Siliciumnitrid-Abstandselements (60) ausgebildet wird, wobei das CxHyFz Alken- und/oder Alkin-Fluorkohlenwasserstoff beinhaltet.
  9. Verfahren nach Anspruch 8, wobei vor dem anisotropen Ätzen die obere Fläche der flachen Grabenisolationsstruktur (20) und die obere Fläche des Halbleitermaterialabschnitts (10) koplanar mit einer untersten Fläche eines Gate-Dielektrikums (50) des Gate-Stapels (50, 52, 54) sind.
  10. Verfahren nach Anspruch 8, wobei das zweite Vertiefungsausmaß geringer als das dritte Vertiefungsausmaß ist.
  11. Verfahren zum Ausbilden einer Halbleiterstruktur, das aufweist: Ausbilden eines Gate-Stapels (50, 52) und zumindest eines Gate-Abstandselements (60'; 62; 60'; 62) über einem Halbleitermaterialabschnitt (10) in einem Halbleitersubstrat (8); Ausbilden einer Siliciumnitriddecklage (70) über dem Gate-Stapel (50, 52), dem Gate-Abstandselement (60'; 62) und dem Halbleitermaterialabschnitt (10); Ausbilden einer strukturierten Maskierungsstruktur (80) über der Siliciumnitriddecklage (70); und anisotropes Ätzen der Siliciumnitriddecklage (70) unter Einsatz eines Plasmas, um zumindest eine Öffnung (89) darin auszubilden, wobei das fluorkohlenwasserstoffhaltige Plasma CxHyFz-Ionen beinhaltet, wobei x eine aus 3, 4 und 5 ausgewählte Ganzzahl ist, y und z positive Ganzzahlen sind und y größer als z ist, wobei ein erstes fluorkohlenwasserstoffhaltiges Polymer (117) mit einer ersten Dicke direkt auf dem Halbleitermaterialabschnitt (10) auf einem Boden der zumindest einen Öffnung (89) ausgebildet wird und ein zweites fluorkohlenwasserstoffhaltiges Polymer (127) mit einer zweiten Dicke direkt auf einer Siliciumoxidfläche des zumindest einen Gate-Abstandselements (60'; 62) ausgebildet wird, wobei die erste Dicke größer als die zweite Dicke ist, wobei das CxHyFz Alken- und/oder Alkin-Fluorkohlenwasserstoff beinhaltet.
  12. Verfahren nach Anspruch 11, wobei das zweite fluorkohlenwasserstoffhaltige Polymer (127) Sauerstoff beinhaltet.
  13. Verfahren nach Anspruch 11 oder 12, wobei ein drittes fluorkohlenwasserstoffhaltiges Polymer (167) während des anisotropen Ätzens auf einer Fläche der Siliciumnitriddecklage (70) ausgebildet wird, wobei das dritte fluorkohlenwasserstoffhaltige Polymer (167) eine flüchtige stickstoffhaltige Verbindung aufweist, die durch Wechselwirkung des fluorkohlenwasserstoffhaltigen Plasmas mit der Siliciumnitriddecklage gebildet wird.
DE112012004143.7T 2011-10-26 2012-10-25 Verfahren zum Ausbilden einer Halbleiterstruktur mit hochselektivem Nitridätzprozess Active DE112012004143B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/281,688 2011-10-26
US13/281,688 US8765613B2 (en) 2011-10-26 2011-10-26 High selectivity nitride etch process
PCT/US2012/061775 WO2013063182A1 (en) 2011-10-26 2012-10-25 High selectivity nitride etch process

Publications (2)

Publication Number Publication Date
DE112012004143T5 DE112012004143T5 (de) 2014-09-11
DE112012004143B4 true DE112012004143B4 (de) 2019-10-24

Family

ID=48168458

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112012004143.7T Active DE112012004143B4 (de) 2011-10-26 2012-10-25 Verfahren zum Ausbilden einer Halbleiterstruktur mit hochselektivem Nitridätzprozess

Country Status (5)

Country Link
US (1) US8765613B2 (de)
CN (1) CN103890918B (de)
DE (1) DE112012004143B4 (de)
GB (1) GB2509660B (de)
WO (1) WO2013063182A1 (de)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101962191B1 (ko) * 2011-03-29 2019-03-26 제온 코포레이션 플라즈마 에칭 가스 및 플라즈마 에칭 방법
US20150357200A1 (en) * 2012-12-27 2015-12-10 Zeon Corporation Dry etching method
US9385044B2 (en) * 2012-12-31 2016-07-05 Texas Instruments Incorporated Replacement gate process
JP6311710B2 (ja) * 2013-06-17 2018-04-18 日本ゼオン株式会社 高純度1−フルオロブタン及びプラズマエッチング方法
US9378975B2 (en) 2014-02-10 2016-06-28 Tokyo Electron Limited Etching method to form spacers having multiple film layers
US9496257B2 (en) * 2014-06-30 2016-11-15 International Business Machines Corporation Removal of semiconductor growth defects
US10217681B1 (en) 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9627533B2 (en) * 2015-02-05 2017-04-18 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition
JP6670672B2 (ja) * 2016-05-10 2020-03-25 東京エレクトロン株式会社 エッチング方法
CN107403725A (zh) * 2016-05-19 2017-11-28 中芯国际集成电路制造(上海)有限公司 氮化硅薄膜去除方法及半导体器件的制作方法
CN107731686A (zh) * 2016-08-12 2018-02-23 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10141231B1 (en) * 2017-08-28 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
CN112436004A (zh) * 2017-09-22 2021-03-02 联华电子股份有限公司 半导体元件及其制作方法
US10269576B1 (en) * 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US11222820B2 (en) 2018-06-27 2022-01-11 International Business Machines Corporation Self-aligned gate cap including an etch-stop layer
JP7288501B2 (ja) * 2018-08-27 2023-06-07 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有表面への選択的堆積
US11258012B2 (en) * 2018-12-19 2022-02-22 Tokyo Electron Limited Oxygen-free plasma etching for contact etching of resistive random access memory
US10629451B1 (en) 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529476A (en) 1983-06-01 1985-07-16 Showa Denko K.K. Gas for selectively etching silicon nitride and process for selectively etching silicon nitride with the gas
US6004878A (en) 1998-02-12 1999-12-21 National Semiconductor Corporation Method for silicide stringer removal in the fabrication of semiconductor integrated circuits
US20100200926A1 (en) 2003-12-30 2010-08-12 Hyun-Chul Shin Memory Cells Having Contact Structures and Related Intermediate Structures
US20110068086A1 (en) 2008-03-31 2011-03-24 Zeon Corporation Plasma etching method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60115232A (ja) * 1983-11-28 1985-06-21 Hitachi Ltd ドライエッチング用ガス
US5562801A (en) 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5644153A (en) 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction
US6139647A (en) 1995-12-21 2000-10-31 International Business Machines Corporation Selective removal of vertical portions of a film
US6159862A (en) 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
US6010968A (en) 1998-12-24 2000-01-04 United Microelectronics Corp. Method for forming a contact opening with multilevel etching
US6184119B1 (en) * 1999-03-15 2001-02-06 Vlsi Technology, Inc. Methods for reducing semiconductor contact resistance
JP2002025979A (ja) 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
US6686293B2 (en) 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
KR100665852B1 (ko) 2005-08-03 2007-01-09 삼성전자주식회사 반도체 소자의 제조방법
US7393788B2 (en) * 2006-02-10 2008-07-01 Cook Julie A Method and system for selectively etching a dielectric material relative to silicon
GB0616125D0 (en) 2006-08-14 2006-09-20 Radiation Watch Ltd Etch process
US8614151B2 (en) 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4529476A (en) 1983-06-01 1985-07-16 Showa Denko K.K. Gas for selectively etching silicon nitride and process for selectively etching silicon nitride with the gas
US6004878A (en) 1998-02-12 1999-12-21 National Semiconductor Corporation Method for silicide stringer removal in the fabrication of semiconductor integrated circuits
US20100200926A1 (en) 2003-12-30 2010-08-12 Hyun-Chul Shin Memory Cells Having Contact Structures and Related Intermediate Structures
US20110068086A1 (en) 2008-03-31 2011-03-24 Zeon Corporation Plasma etching method

Also Published As

Publication number Publication date
GB2509660B (en) 2016-02-24
US20130105916A1 (en) 2013-05-02
GB2509660A (en) 2014-07-09
US8765613B2 (en) 2014-07-01
CN103890918A (zh) 2014-06-25
WO2013063182A1 (en) 2013-05-02
DE112012004143T5 (de) 2014-09-11
CN103890918B (zh) 2016-08-31
GB201407290D0 (en) 2014-06-11

Similar Documents

Publication Publication Date Title
DE112012004143B4 (de) Verfahren zum Ausbilden einer Halbleiterstruktur mit hochselektivem Nitridätzprozess
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
US10505018B2 (en) Spacers with rectangular profile and methods of forming the same
DE10030308B4 (de) Verfahren zur Herstellung eines Kontaktstifts und eines Halbleiterbauelementes
DE102015109834B4 (de) Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate
DE102015114904B4 (de) Halbleitervorrichtungsstrukturen und Verfahren zum Ausbilden einer Halbleitervorrichtungsstruktur
DE102019116921B4 (de) Bilden stickstoffhaltiger low-k-gate-abstandshalter und low-k-gate-abstandshalter
DE10339989B4 (de) Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
DE102006045581B4 (de) Halbleiterbauelement mit einem Trench-Gate und Verfahren zu seiner Fertigung
DE102006046374B4 (de) Verfahren zum Reduzieren der Lackvergiftung während des Strukturierens von Siliziumnitridschichten in einem Halbleiterbauelement
DE112012004488T5 (de) Niederenergie-Ätzverfahren für eine stickstoffhaltige dielektrische Schicht
US7332775B2 (en) Protruding spacers for self-aligned contacts
DE102015113250B4 (de) Struktur und verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102014119164B4 (de) Verfahren zum Reinigen einer Metallgateoberfläche
DE102007052051B4 (de) Herstellung verspannungsinduzierender Schichten über einem Bauteilgebiet mit dichtliegenden Transistorelementen
DE10154346C2 (de) Ausffüllen von Substratvertiefungen mit siliziumoxidhaltigem Material durch eine HDP-Gasphasenabscheidung unter Beteiligung von H¶2¶O¶2¶ oder H¶2¶O als Reaktionsgas
DE102019126339B4 (de) Rückstandsentfernung in metall-gate schneideprozess
DE112012004495T5 (de) Strukturierung mit hoher Wiedergabetreue unter Verwendung eines Fluorkohlenwasserstoff enthaltenden Polymers
DE102019133935B4 (de) Verfahren zum ausbilden von transistorabstandshal-terstrukturen
DE102015117230B4 (de) Verfahren zum Bilden einer Halbleitervorrichtungsstruktur
DE102018206815B4 (de) Verfahren zum verringern der finfet-kurzkanalgatehöhe
DE102019009394B4 (de) Isolation von source-drain-regionen zweier muti-gate-transistoren in dichter anordnung
DE10142340B4 (de) Feldeffekttransistor mit einem Kontakt zu einem seiner Dotiergebiete und Verfahren zu seiner Herstellung
DE102021114103A1 (de) Metallische hartmasken zum reduzieren der leitungskrümmung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021310000

Ipc: H01L0021311000

R081 Change of applicant/patentee

Owner name: ZEON CORPORATION, JP

Free format text: FORMER OWNERS: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, NY, US; ZEON CORPORATION, TOKIO/TOKYO, JP

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LT, TW

Free format text: FORMER OWNERS: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, NY, US; ZEON CORPORATION, TOKIO/TOKYO, JP

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LT, TW

Free format text: FORMER OWNERS: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US; ZEON CORPORATION, TOKIO/TOKYO, JP

Owner name: ZEON CORPORATION, JP

Free format text: FORMER OWNERS: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US; ZEON CORPORATION, TOKIO/TOKYO, JP

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US; ZEON CORPORATION, TOKIO/TOKYO, JP

R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LT, TW

Free format text: FORMER OWNERS: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US; ZEON CORPORATION, TOKIO/TOKYO, JP

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US; ZEON CORPORATION, TOKIO/TOKYO, JP

Owner name: ZEON CORPORATION, JP

Free format text: FORMER OWNERS: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US; ZEON CORPORATION, TOKIO/TOKYO, JP

R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: ZEON CORPORATION, JP

Free format text: FORMER OWNERS: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY; ZEON CORPORATION, TOKIO/TOKYO, JP

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LT, TW

Free format text: FORMER OWNERS: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY; ZEON CORPORATION, TOKIO/TOKYO, JP

R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE