KR101962191B1 - 플라즈마 에칭 가스 및 플라즈마 에칭 방법 - Google Patents

플라즈마 에칭 가스 및 플라즈마 에칭 방법 Download PDF

Info

Publication number
KR101962191B1
KR101962191B1 KR1020137025589A KR20137025589A KR101962191B1 KR 101962191 B1 KR101962191 B1 KR 101962191B1 KR 1020137025589 A KR1020137025589 A KR 1020137025589A KR 20137025589 A KR20137025589 A KR 20137025589A KR 101962191 B1 KR101962191 B1 KR 101962191B1
Authority
KR
South Korea
Prior art keywords
gas
etching
silicon
fluoro
film
Prior art date
Application number
KR1020137025589A
Other languages
English (en)
Other versions
KR20140016912A (ko
Inventor
아즈미 이토
아츠요 야마자키
Original Assignee
제온 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제온 코포레이션 filed Critical 제온 코포레이션
Publication of KR20140016912A publication Critical patent/KR20140016912A/ko
Application granted granted Critical
Publication of KR101962191B1 publication Critical patent/KR101962191B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C21/00Acyclic unsaturated compounds containing halogen atoms
    • C07C21/02Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds
    • C07C21/18Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds containing fluorine
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은, CxHyFz (x = 3, 4 또는 5 이다. 또, y + z ≤ 2x, 또한 y > z 이다) 로 나타내는 불포화 불소화 탄화수소를 함유하는 에칭 가스, 및 이 에칭 가스를 사용하여, 실리콘 산화막 또는 실리콘막에 대해 실리콘 질화막을 선택적으로 에칭하는 방법이다. 본 발명에 의하면, 실리콘 산화막 또는 실리콘막에 적층된 실리콘 질화막을 고도로 선택적으로 에칭할 수 있다.

Description

플라즈마 에칭 가스 및 플라즈마 에칭 방법{PLASMA ETCHING GAS AND PLASMA ETCHING METHOD}
본 발명은, 피처리체에 형성된 실리콘 산화막 또는 실리콘막을 덮는 실리콘 질화막을 에칭할 때에, 실리콘 산화막 또는 실리콘막에 대해 실리콘 질화막을 선택적으로 에칭하는 플라즈마 에칭 가스 및 이 에칭 가스를 사용하는 플라즈마 에칭 방법에 관한 것이다.
탄소수 3 ∼ 5 의 포화 불소화 탄화수소 가스가, 에칭 가스에 사용되는 것이 알려져 있다 (특허문헌 1 ∼ 3).
예를 들어, 특허문헌 1 에서는, 실리콘 산화막을 덮는 실리콘 질화막을 에칭할 때에, 실리콘 질화막을 선택적으로 에칭하는 가스로서, CxHyFz (식 중, x 는 3, 4 또는 5, y, z 는 각각 독립적으로 정 (正) 의 정수를 나타내고, 또한, y > z 이다) 로 나타내는 포화 불소화 탄화수소가 제안되어 있다. 그리고, 이 문헌의 실시예에 있어서는, 2,2-디플루오로-n-부탄이, 실리콘 산화막을 에칭하지 않고, 실리콘 질화막만을 64 ㎚/분의 속도로 선택적으로 에칭하는 (선택비는 무한대) 것이 기재되어 있다.
또, 특허문헌 2 에 의하면, 특허문헌 1 에 제안되는 포화 불소화 탄화수소의 하나인 C3H5F3 가스는, 높은 선택비의 에칭 가스가 될 것으로 추정되고 있다 (단락 0106). 이것은, 마스크에 반응 생성물이 퇴적되어, 에칭되어야 할 부분이 에칭되는 것에 의한다.
마찬가지로 특허문헌 3 에는, C3H7F 및 C3H6F2 가, C4F6 가스나 산소 등의 다른 에칭 가스와 함께 사용되어 에칭시의 보호막 형성 물질로서 기능하는 것이 개시되어 있다.
또, 특허문헌 4 에는, 불포화 퍼플루오로카본을 함유하는 드라이 에칭 가스를 사용하여, 실리콘 산화막이나 실리콘 질화막 등을 레지스트나 실리콘에 대해 선택적으로 에칭함으로써, 고애스펙트비의 콘택트홀을 형성할 수 있는 것이 기재되어 있다 (단락 0004).
이와 같이, 지금까지도 실리콘 질화막 등을 실리콘 산화막이나 실리콘막 등 에 대해 선택적으로 에칭하는 에칭 기술이 몇 가지 제안되어 있다.
그러나, 최근에 있어서의 반도체 제조 기술의 진전에 수반하여, 다른 막, 특히 실리콘막이나 실리콘 산화막에 데미지를 주지 않고, 실리콘 질화막을 실리콘 산화막이나 실리콘막 등에 대해 보다 선택적으로 에칭하는 기술의 개발이 요구되고 있는 것이 현상황이다.
WO2009/123038호 팜플렛 (US2011068086) 일본 공개특허공보 2001-250817호 (US2001005634) 일본 공표특허공보 2006-514783호 (WO2004034445) 일본 공개특허공보 2002-016050호
본 발명은, 상기 서술한 실정을 감안하여 이루어진 것으로, 피처리체에 형성된 실리콘 산화막 또는 실리콘막을 덮는 실리콘 질화막을 에칭할 때에, 실리콘 산화막이나 실리콘막에 데미지를 주지 않고, 실리콘 산화막 또는 실리콘막에 대해 선택적으로 실리콘 질화막을 에칭하는 플라즈마 에칭 가스, 및 이 에칭 가스를 사용하는 플라즈마 에칭 방법을 제공하는 것을 목적으로 한다.
그런데, 실리콘 질화막의 선택적 에칭으로서, 다른 막, 특히 실리콘막에 데미지를 주지 않는다는 관점에서, 플라즈마 중에서 고에너지 이온종이 되는 아르곤을 사용하지 않는 에칭이 주목받고 있다.
본 발명자들은, 특허문헌 1 에 구체적으로 개시된 2,2-디플루오로-n-부탄을 에칭 가스로서 사용하여, 아르곤 부존재하에서, 실리콘 질화막의, 실리콘 산화막 및 실리콘막에 대한 선택적 에칭을 검토하였다. 그러나, 양 막에 대한 선택비는 4 정도밖에 얻어지지 않는다는 것을 알 수 있었다.
그래서 본 발명자들은, 실리콘 질화막을 선택적으로 에칭하는 에칭 가스에 대해 예의 검토를 진행한 결과, CxHyFz (x = 3, 4 또는 5 이다. 또, y + z ≤ 2x, 또한 y > z 이다) 로 나타내는 불포화 불소화 탄화수소를 에칭 가스로서 사용하면, 실리콘 산화막뿐만 아니라 실리콘막에 대해서도 우수한 에칭 선택성이 얻어지는 것을 알아내어, 본 발명을 완성시키기에 이르렀다.
이렇게 하여 본 발명에 의하면, 하기 (a), (b) 의 에칭 가스, 및 (c) 의 에칭하는 방법이 제공된다.
(a) CxHyFz (x = 3, 4 또는 5 이다. 또, y + z ≤ 2x, 또한 y > z 이다) 로 나타내는 불포화 불소화 탄화수소를 함유하는 에칭 가스.
(b) 추가로, 산소 가스 및/또는 질소 가스를 함유하는 (a) 에 기재된 에칭 가스.
(c) 실리콘 산화막 또는 실리콘막에 대해 실리콘 질화막을, 청구항 1 또는 2 에 기재된 에칭 가스를 사용하여 선택적으로 에칭하는 방법.
본 발명에 의하면, CxHyFz (x = 3, 4 또는 5 이다. 또, y + z ≤ 2x, 또한 y > z 이다) 로 나타내는 불포화 불소화 탄화수소를 함유하는 에칭 가스가 제공된다. 본 발명의 에칭 가스를 사용하여, 실리콘 산화막 또는 실리콘막에 대해 실리콘 질화막을 선택적으로 에칭할 수 있다.
본 발명에 있어서, 「에칭」이란, 반도체 제조 장치의 제조 공정 등에서 사용되는 피처리체에, 매우 고집적화된 미세 패턴을 식각하는 기술을 말하고, 보다 구체적으로는, 처리 가스 (앞의 식으로 나타내는 불포화 불소화 탄화수소 가스) 에 고주파의 전기장을 인가하여 글로우 방전을 일으키게 하여, 기체 화합물을 화학적으로 활성인 이온, 전자, 라디칼로 분리시키고, 그 화학 반응을 이용하여 에칭을 실시하는 것을 말한다.
본 발명에 있어서, 「실리콘 산화막」이란, 예를 들어, 실리콘의 열 산화나 SiH4 및 O2 를 원료 가스로 한 CVD 법에 의해 형성되는, 규소의 산화물로 이루어지는 막이다.
본 발명에 있어서, 「실리콘막」이란, 단결정 실리콘, 다결정 실리콘, 아모르퍼스 실리콘 등의, 실질적으로 Si 만으로 이루어지는 막이다.
본 발명에 있어서, 「실리콘 질화막」이란, 예를 들어, SiH2Cl2 및 NH3 을 원료 가스로 한 약 700 ℃ 정도의 온도 조건에서의 감압 CVD 법에 의해 형성되는, SiaNb (a, b > 0) 로 나타내는 규소의 질화물로 이루어지는 막이다.
이하, 본 발명에 대해 상세하게 설명한다.
본 발명의 에칭 가스는, CxHyFz (x = 3, 4 또는 5 이다. 또, y + z ≤ 2x, 또한 y > z 이다) 로 나타내는 불포화 불소화 탄화수소 (이하, 「불포화 불소화 탄화수소 A」라고 하는 경우가 있다) 를 함유한다.
불포화 불소화 탄화수소 A 는, 직사슬 구조를 갖는 것이어도 되고, 분기 구조를 갖는 것이어도 되며, 고리형 구조를 갖는 것이어도 된다.
불포화 불소화 탄화수소 A 의 구체예로는, 이하의 것을 들 수 있다.
(식:C3H5F 로 나타내는 불포화 불소화 탄화수소) 3-플루오로프로펜, 2-플루오로-1-프로펜, (Z)-1-플루오로-1-프로펜, (E)-1-플루오로-1-프로펜
(식:C3H4F2 로 나타내는 불포화 불소화 탄화수소) 3,3-디플루오로-1-프로펜, 1,1-디플루오로-1-프로펜, 1,2-디플루오로-1-프로펜
(식:C3H3F 로 나타내는 불포화 불소화 탄화수소) 프로파르길플루오리드, 플루오로알렌, 3-플루오로-1-시클로프로펜, 1-플루오로-1-시클로프로펜, 1-플루오로-1-프로핀
(식:C4H7F 로 나타내는 불포화 불소화 탄화수소) 2-메틸-3-플루오로-1-프로펜, (E)-1-플루오로-2-부텐, 3-플루오로-1-부텐, (E)-2-플루오로-2-부텐, (Z)-2-플루오로-2-부텐, 시클로프로필메틸플루오리드, 4-플루오로-1-부텐
(식:C4H6F2 로 나타내는 불포화 불소화 탄화수소) 1,4-디플루오로-2-부텐, 1,1-디플루오로-2-메틸프로펜, (Z)-1,2-디플루오로-2-부텐, 3,3-디플루오로-1-부텐, 2-메틸-3,3-디플루오로-1-프로펜
(식:C4H5F3 으로 나타내는 불포화 불소화 탄화수소) 3,3,3-트리플루오로-2-메틸-1-프로펜, 4,4,4-트리플루오로-1-부텐, 1,1,1-트리플루오로-2-부텐
(식:C4H5F 로 나타내는 불포화 불소화 탄화수소) 2-플루오로-1,3-부타디엔, 2-플루오로-1-메틸렌시클로프로판, 3-플루오로시클로부텐, 3-플루오로-1-부틴, (E)-1-플루오로-1,3-부타디엔, (Z)-1-플루오로-1,3-부타디엔, 4-플루오로-1-부틴, 1-플루오로-2-부틴
(식:C4H4F2 로 나타내는 불포화 불소화 탄화수소) 1,1-디플루오로-1,3-부타디엔, 2,3-디플루오로-1,3-부타디엔, 1,2-디플루오로-1-시클로부텐, 3,3-디플루오로시클로부텐, (디플루오로메틸렌)시클로프로판, (1E,3E)-1,4-디플루오로-1,3-부타디엔, (1E,3Z)-1,4-디플루오로-1,3-부타디엔, (1Z,3Z)-1,4-디플루오로-1,3-부타디엔, 1-메틸렌-2,2-디플루오로시클로프로판, 3,4-디플루오로시클로부텐
(식:C4H3F 로 나타내는 불포화 불소화 탄화수소) 1-플루오로시클로부타디엔, 1-플루오로-1,2,3-부탄톨루엔
(식:C5H9F 로 나타내는 불포화 불소화 탄화수소) 5-플루오로-1-펜텐, (E)-4-플루오로-2-펜텐, (Z)-4-플루오로-2-펜텐, 2-플루오로-3-메틸-2-부텐
(식:C5H8F2 로 나타내는 불포화 불소화 탄화수소) 2-메틸-1,1-디플루오로-1-부텐
(식:C5H7F3 으로 나타내는 불포화 불소화 탄화수소) 4,4,4-트리플루오로-2-메틸-1-부텐, 4,4,4-트리플루오로-2-메틸-2-부텐, 5,5,5-트리플루오로-1-펜텐, 3-트리플루오로메틸-1-부텐, 1-메틸-1-(트리플루오로메틸)시클로프로판
(식:C5H7F 로 나타내는 불포화 불소화 탄화수소) 5-플루오로-1,3-펜타디엔, 2-플루오로메틸-1,3-부타디엔, [플루오로메틸렌]시클로부탄, 1-플루오로-1-시클로펜텐, (E)-4-플루오로-1,3-펜타디엔, (Z)-4-플루오로-1,3-펜타디엔, (E)-5-플루오로-1,3-펜타디엔, (Z)-3-플루오로-1,3-펜타디엔, 5-플루오로-2-펜틴, 1-플루오로-1,3-펜타디엔, 3-플루오로-3-메틸-1-부틴, -플루오로-3-메틸-1,3-부타디엔, 5-플루오로-1-펜틴, 3-플루오로-1-시클로펜텐
(식:C5H6F2 로 나타내는 불포화 불소화 탄화수소) 3,4-디플루오로시클로펜텐, 3,5-디플루오로시클로펜텐, 1,1-디플루오로-2-메틸-3-메틸렌시클로프로판, 1,1-디플루오로-2-에틸리덴시클로프로판, 1-메틸-2-(디플루오로메틸렌)시클로프로판, 1,1-디플루오로-2-메틸-1,3-부타디엔, 1,1-디플루오로-3-메틸-1,3-부타디엔, 3,3-디플루오로-1,4-펜타디엔, (Z)-2,4-디플루오로-1,3-펜타디엔, 1,1-디플루오로-2-비닐시클로프로판
(식:C5H5F3 으로 나타내는 불포화 불소화 탄화수소) 3-(트리플루오로메틸) 시클로부텐, 2-(트리플루오로메틸)-1,3-부타디엔, 1,1,3-트리플루오로-2-메틸-1,3-부타디엔, 1,1,2-트리플루오로-1,3-펜타디엔, 1,1,2-트리플루오로-1,4-펜타디엔, (E)-5,5,5-트리플루오로-1,3-펜타디엔, (Z)-5,5,5-트리플루오로-1,3-펜타디엔, (1Z,3E)-1,3,5-트리플루오로-1,3-펜타디엔, (1E,3E)-1,3,5-트리플루오로-1,3-펜타디엔
(식:C5H5F 로 나타내는 불포화 불소화 탄화수소) 5-플루오로-1,3-시클로펜타디엔, 5,5-디플루오로-1,3-시클로펜타디엔
(식:C5H3F 로 나타내는 불포화 불소화 탄화수소) 1-플루오로-1,3-펜타디인
이들 불포화 불소화 탄화수소 A 는, 1 종 단독으로, 혹은 2 종 이상을 혼합하여 사용할 수 있지만, 본 발명의 효과가 보다 현저하게 나타나는 점에서 1 종 단독으로 사용하는 것이 바람직하다.
이들 중에서도, 에칭 성능, 및 입수나 취급의 용이함으로부터, 4-플루오로-1-부텐, 2-메틸-3-플루오로-1-프로펜, 및 1,1-디플루오로-2-메틸프로펜이 바람직하다.
불포화 불소화 탄화수소 A 의 상당수는 공지 물질이고, 종래 공지된 방법으로 제조·입수할 수 있다.
예를 들어, Journal of American Chemical Society, 78, 2608 (1956) 에 기재된 방법에 의해 제조하여, 입수할 수 있다. 또, 시판품을 그대로, 혹은 원하는 바에 따라 정제한 후에 사용할 수도 있다.
불포화 불소화 탄화수소 A 는, 임의의 용기, 예를 들어, 종래의 반도체용 가스와 마찬가지로 실린더 등의 용기에 충전되어, 후술하는 플라즈마 에칭에 사용된다.
불포화 불소화 탄화수소 A (가스) 의 순도는, 바람직하게는 99 용량% 이상, 더욱 바람직하게는 99.9 용량% 이상, 특히 바람직하게는 99.98 용량% 이상이다. 순도가 상기 범위에 있음으로써, 본 발명의 효과가 보다 더욱 향상된다. 또, 불포화 불소화 탄화수소 A (가스) 의 순도가 지나치게 낮으면, 가스를 충전한 용기 내에 있어서, 가스 순도 (불포화 불소화 탄화수소의 함유량) 의 편향을 일으키는 경우가 있다. 구체적으로는, 사용 초기 단계와 잔량이 적어진 단계에서의 가스 순도가 크게 상이한 경우가 있다.
이와 같은 경우, 플라즈마 에칭을 실시했을 때에, 사용 초기 단계와 잔량이 적어진 단계에서 각각의 가스를 사용했을 때의 성능에 큰 차이가 생겨, 공장의 생산 라인에 있어서는 수율의 저하를 초래할 우려가 있다. 따라서, 순도를 향상시킴으로써, 용기 내의 가스 순도의 편향이 없어지기 때문에, 사용 초기 단계와 잔량이 적어진 단계에서의 가스를 사용했을 때의 성능에 차이가 없어져, 가스를 낭비없이 사용하는 것이 가능해진다.
또한, 불포화 불소화 탄화수소 A 의 순도는, 내부 표준 물질법에 의한 가스 크로마토그래피 분석에 의해 측정한 중량 기준의 백분율 (%) 로부터 이끌어낸 용량 기준의 순도이다.
일반적으로, 후술하는 바와 같이, 에칭 가스는, 불포화 불소화 탄화수소 A 가스에, 산소 가스나 질소 가스나 불활성 가스를 적절히 혼합한 혼합 가스로서 사용된다.
그런데, 불포화 불소화 탄화수소 A 중, 불순물로서, 공기나 생산 설비 내의 질소 가스 등;제조시에 사용하는 용매;흡습성이 높은 염, 알칼리 등에서 유래하는 수분 등;이 미량 성분으로서 존재하는 경우가 있다.
용기에 충전된 불포화 불소화 탄화수소 A 중에, 질소 가스, 산소 가스, 수분 등이 혼재되어 있으면, 그 양을 고려하여 혼합 가스를 조정할 필요가 생긴다. 혼재되는 가스는, 플라즈마 반응 장치 내에서 해리되어, 각종 유리기 (에칭종) 를 발생시키기 때문에, 불포화 불소화 탄화수소 A 의 플라즈마 반응에 크게 영향을 미치기 때문이다.
또, 불포화 불소화 탄화수소 A 가스를 충전한 용기 내에, 질소 가스, 산소 가스, 수분 등의 불순물이 존재하는 경우, 당해 용기를 개봉한 시점과, 용기 내의 불포화 불소화 탄화수소 A 가스의 잔량이 적어진 시점에서, 용기로부터 나오는 불포화 불소화 탄화수소 가스와 불순물의 조성에 차이가 생겨, 동일한 에칭 성능을 얻을 수 없게 된다.
따라서, 불포화 불소화 탄화수소 A 중에 잔여의 미량 가스로서 함유되는 질소 가스 및 산소 가스의 양은, 양자의 합계량으로서, 불소화 탄화수소 (1) 가스의 전체량에 대해, 200 용량ppm 이하인 것이 바람직하고, 150 용량ppm 이하인 것이 보다 바람직하며, 100 용량ppm 이하인 것이 특히 바람직하다. 추가로, 수분 함유량은 30 중량ppm 이하인 것이 바람직하고, 20 중량ppm 이하인 것이 보다 바람직하며, 10 중량ppm 이하인 것이 특히 바람직하다.
여기서 질소 가스와 산소 가스의 합계량은, 절대 검량선법에 의한 가스 크로마토그래피 분석에 의해 측정한 질소 가스 및 산소 가스의 용량 기준의 함유량 (ppm) 의 합계이다. 또한, 이들 용량 기준은 몰 기준이라고도 할 수 있다. 「수분의 함유량」은, 통상, 칼피셔법으로 측정되는 중량 기준의 수분의 함유량 (ppm) 이다.
본 발명에 있어서 에칭 가스는, 불포화 불소화 탄화수소 A 가스에 더하여, 추가로 산소 가스 및/또는 질소 가스를 함유하는 것이 바람직하다. 산소 가스 및/또는 질소 가스를 병용함으로써, 홀 바닥면에 있어서의 반응물의 퇴적 등이 원인이라고 생각되는 에칭의 정지 (에칭 스톱) 를 방지하면서, 선택비를 현격히 높일 수 있다.
산소 가스 및 질소 가스의 사용 비율은, 불포화 불소화 탄화수소 A 가스에 대해, 산소 가스, 질소 가스, 또는 산소 가스 및 질소 가스의 합계의 용량비로 0.1 ∼ 150 이 되는 것이 바람직하고, 1 ∼ 15 가 되는 것이 보다 바람직하다.
처리 가스의 도입 속도는, 각 성분의 사용 비율에 비례시켜, 예를 들어, 불포화 불소화 탄화수소 가스는 8.45 × 10-3 ∼ 1.69 × 10-1 Pa·㎥/sec (5 ∼ 100 sccm), 산소 가스는 8.45 × 10-3 ∼ 8.45 × 10-1 Pa·㎥/sec (5 ∼ 1500 sccm) 등 으로 하면 된다.
에칭 가스가 도입된 처리실 내의 압력은, 통상 0.1 ∼ 100 Pa, 바람직하게는 1 ∼ 10 Pa 이다.
다음으로, 플라즈마 발생 장치에 의해, 처리실 내의 불포화 불소화 탄화수소 A 가스 (반응성 플라즈마 가스) 에 고주파의 전기장을 인가하여 글로우 방전을 일으키게 하여, 플라즈마를 발생시킨다.
플라즈마 발생 장치로는, 헬리콘파 방식, 고주파 유도 방식, 평행 평판 타입, 마그네트론 방식 및 마이크로파 방식 등의 장치를 들 수 있지만, 고밀도 영역의 플라즈마 발생이 용이한 점에서, 헬리콘파 방식, 고주파 유도 방식 및 마이크로파 방식의 장치가 바람직하게 사용된다.
플라즈마 밀도는, 특별히 한정되지 않는다. 본 발명의 효과를 보다 양호하게 발현시키는 관점에서, 플라즈마 밀도가, 바람직하게는 1011 이온/㎤ 이상, 보다 바람직하게는 1012 ∼ 1013 이온/㎤ 의 고밀도 플라즈마 분위기하에서 에칭을 실시하는 것이 바람직하다.
에칭시에 있어서의 피처리 기판의 도달 온도는, 특별히 한정되는 것은 아니지만, 바람직하게는 -50 ∼ +300 ℃, 보다 바람직하게는 -20 ∼ +100 ℃, 더욱 바람직하게는 0 ∼ 50 ℃ 의 범위이다. 기판의 온도는 냉각 등에 의해 제어해도 되고, 제어하지 않아도 된다.
본 발명의 플라즈마 에칭 방법은, 상기 서술한 바와 같이, 처리실 내에서 에칭 가스의 플라즈마를 발생시키고, 그 내부에 배치된 피처리체 상의 소정 부위를 에칭하는 방법으로서, 불포화 불소화 탄화수소를 함유하는 에칭 가스를 사용하는 것이지만, 실리콘 질화막을 선택적으로 플라즈마 에칭하는 방법인 것이 바람직하고, 실리콘 산화막 및/또는 실리콘막에 대해 실리콘 질화막을 선택적으로 플라즈마 에칭하는 방법인 것이 보다 바람직하다.
상기 서술한 에칭 조건에서 실리콘 질화막 및/또는 실리콘막을 에칭함으로써, 실리콘 산화막 및/또는 실리콘막에 대한 실리콘 질화막의 선택비가 적어도 10 이상, 많은 경우에는 무한대의 선택비를 얻을 수 있고, 퇴적물에 의한 에칭의 정지를 회피하면서, 종래와 비교하여 현격히 높은 선택비를 얻을 수 있다.
본 발명의 에칭 가스를 사용함으로써, 실리콘 산화막 또는 실리콘막에 대해 실리콘 질화막을 선택적으로 에칭할 수 있다. 보다 구체적으로는, 실리콘 산화막 또는 실리콘막에 적층된 실리콘 질화막을 고도로 선택적으로 에칭할 수 있다.
실시예
이하, 실시예에 의해, 본 발명을 더욱 상세하게 설명하지만, 본 발명은 이하의 실시예에 의해 그 범위가 한정되는 것은 아니다. 또한, 특별히 언급이 없는 한, 「부」및 「%」는, 각각 「중량부」및 「중량%」를 나타낸다.
(1) 제조예 1 4-플루오로-1-부텐의 제조
1 리터의 4 구 플라스크에, 3-부텐-1-올 (72 g), 건조 테트라하이드로푸란 (400 ㎖), 및 메탄술포닐클로라이드 (126 g) 를 주입하고, 질소 기류하에 두었다. 플라스크를 빙수로 잘 냉각시켜, 적하 깔때기로부터 트리에틸아민 (111 g) 을 천천히 시간을 들여 적하하였다. 적하 종료 후, 30 분 정도 빙수 냉각하에 교반하고, 그 후, 실온으로 되돌려 4 시간 정도 교반을 계속하였다. 반응 종료 후, 테트라하이드로푸란을 100 ㎖ 첨가하고, 반응에 의해 생성되는 염 (트리에틸아민염산염) 을 여과 분리하였다. 여과액으로부터 이배퍼레이터를 사용하여 테트라하이드로푸란의 대부분을 증류 제거하였다. 얻어진 잔류물에 디에틸에테르를 200 ㎖ 첨가하여, 5 % 염산, 포화 중조수, 포화 식염수로 순차 세정 후, 무수 황산마그네슘으로 건조시켜, 황산마그네슘을 여과 분리하였다. 여과액으로부터 이배퍼레이터를 사용하여 디에틸에테르를 증류 제거하고, 4-메탄술포닐옥시-1-부텐 (이하, 「메시레이트」라고 한다) 을 황갈색 오일로서 126 g 얻었다 (수율 84 %).
다음으로, 단증류 장치를 구비한 콘덴서 및 쓰리 원 모터를 구비한 4 구 플라스크에, 스프레이 드라이 불화칼륨 및 디에틸렌글리콜을 주입하고, 질소 기류하에서 교반하면서 90 ℃ 로 가열하였다. 그것에, 상기 메시레이트를 적하 깔때기로 적하하여 첨가하였다. 전용 (全容) 을 90 ℃ 에서 6 시간 교반한 후, 실온까지 냉각시켰다. 그 후, 전용을 1.33 × 104 ∼ 1.4 × 104 Pa (100 mmHg ∼ 105 mmHg) 의 감압하에서 60 ℃ 로 하여 감압 증류법에 의해 정제하고, 목적으로 하는 4-플루오로-1-부텐을 122 g 얻었다. 얻어진 4-플루오로-1-부텐의 순도는 99.5 % 였다.
(2) 제조예 2 2-메틸-3-플루오로프로펜의 제조
0 ℃ 냉매를 순환시킨 딤로스형 콘덴서를 구비한 500 ㎖ 유리제 반응기에, t-부틸암모늄플루오라이드 3 수화물 75.7 g (0.24 ㏖), 건조 디메틸술폭사이드 120 ㎖ 를 넣고, t-부틸암모늄플루오라이드 3 수화물을 용해시켰다. 이 용액에 메탈릴클로라이드 18.1 g (0.2 ㏖) 을 첨가하고, 질소 분위기하에서 10 시간 교반하였다. 반응 혼합물을 증류함으로써, 목적으로 하는 2-메틸-3-플루오로프로펜을 10.8 g 얻었다 (수율 73 %). 얻어진 2-메틸-3-플루오로프로펜의 순도는 99.8 % 였다.
(3) 제조예 3 1,1-디플루오로-2-메틸프로펜의 제조
딤로스형 콘덴서 및 적하 깔때기를 구비한 100 ㎖ 의 3 구 플라스크 (반응기) 를 준비하고, 딤로스형 콘덴서의 상부에는 유리 배관을 장착하고, 지관 (枝管) 을 개재하여 드라이아이스/에탄올욕에 침지시킨 이형 (梨型) 플라스크를 장착하였다. 또, 지관으로부터 질소를 도입하고, 딤로스형 콘덴서에는 15 ℃ 의 냉매를 순환시켰다.
상기 반응기 내에 LiAlH4 4.36 g (0.115 ㏖) 을 넣고, 건조 테트라하이드로푸란 50 ㎖ 를 첨가하여, 전용을 실온에서 교반하였다. 그것에, 3-클로로-3,3-디플루오로-2-메틸프로펜 12.36 g (0.1 ㏖) 을, 적하 깔때기를 사용하여 1 시간에 걸쳐 천천히 적하하였다. 적하 종료 후, 반응기를 60 ℃ 로 가온하고, 동 온도에서 추가로 4 시간 반응을 계속한 후, 딤로스형 콘덴서에 순환시키고 있는 냉매의 온도를 20 ℃ 로 올려, 반응 혼합물을 65 ℃ 에서 1 시간 추가로 가열 교반하였다.
얻어진 반응 혼합물로부터 증류에 의해, 목적으로 하는 1,1-디플루오로-2-메틸프로펜을 6.35 g 얻었다 (수율 69 %). 얻어진 1,1-디플루오로-2-메틸프로펜의 순도는 99.5 % 였다.
<실시예 1 ∼ 3, 비교예 1, 2 >
평행 평판형 플라즈마 에칭 장치의 에칭 챔버 내에, 표면에 실리콘 질화막 (Si3N4;하기 표 1 중에서는, 「SiN」이라고 표기) 이 형성된 웨이퍼 (1) 와, 표면에 실리콘 산화막 (SiO2;표 중에서는 SiO) 이 형성된 웨이퍼 (2) 와, 표면에 다결정 실리콘막 (poly-Si;표 중에서는 Si) 이 형성된 웨이퍼 (3) 를 각각 세트하고, 계 내를 진공으로 한 후, 산소 가스를 2.03 × 10-1 Pa·㎥/sec (120 sccm) 의 속도로 에칭 챔버 내에 도입한 것 중에, 상기 제조예 1 ∼ 3 에서 얻어진 불포화 불소화 탄화수소 가스의 각각을, 1.69 × 10-2 ∼ 1.69 × 10-1 Pa·㎥/sec (10 ∼ 100 sccm) 의 유량으로 도입하였다. 계 내의 압력을 6.7 Pa 로 유지하고, 상부 전극에 200 W (60 ㎒), 하부 전극에 100 W (2 ㎒) 를 인가하여, 플라즈마 에칭을 실시하였다. 그리고, 웨이퍼 (1) 의 실리콘 질화막, 웨이퍼 (2) 의 실리콘 산화막, 및 웨이퍼 (3) 의 실리콘막 각각의 에칭 속도를 측정하고, 이들 측정 결과에 기초하여 실리콘 산화막 및 실리콘막에 대한 실리콘 질화막의 에칭 속도의 비로부터 선택비를 구하였다.
본 발명의 에칭 가스의 유량별의, 실리콘 질화막, 실리콘 산화막 및 실리콘막의 에칭 속도의 측정 결과, 및 선택비의 계산 결과를 표 1 에 나타낸다. 또한, 에칭이 진행되지 않고, 에칭 가스 유래의 플루오로카본막이 퇴적된 경우를, 표 중에서는 「퇴적」이라고 표시하였다.
Figure 112013087833489-pct00001
표 1 에 나타내는 실리콘 질화막, 실리콘 산화막 또는 실리콘막 각각의 에칭 속도의 결과로부터, 실시예 1 ∼ 3 의 경우에는, 아르곤 가스를 병용하지 않는 조건하에서 실리콘 질화막이 선택적으로 에칭되어 있는 (선택비가 무한대가 된다) 것을 알 수 있다.

Claims (3)

  1. 실리콘 산화막 또는 실리콘 막에 대해 실리콘 질화막을, 에칭 가스를 사용하여 선택적으로 에칭하는 방법으로서,
    상기 에칭 가스가, 4-플루오로-1-부텐, 2-메틸-3-플루오로-1-프로펜, 또는 1,1-디플루오로-2-메틸프로펜을 함유하는 것인, 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭 가스가, 추가로 산소 가스 및/또는 질소 가스를 포함하는 것인 에칭 방법.
  3. 삭제
KR1020137025589A 2011-03-29 2012-03-27 플라즈마 에칭 가스 및 플라즈마 에칭 방법 KR101962191B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2011-072192 2011-03-29
JP2011072192 2011-03-29
PCT/JP2012/057921 WO2012133401A1 (ja) 2011-03-29 2012-03-27 プラズマエッチングガス及びプラズマエッチング方法

Publications (2)

Publication Number Publication Date
KR20140016912A KR20140016912A (ko) 2014-02-10
KR101962191B1 true KR101962191B1 (ko) 2019-03-26

Family

ID=46931117

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137025589A KR101962191B1 (ko) 2011-03-29 2012-03-27 플라즈마 에칭 가스 및 플라즈마 에칭 방법

Country Status (5)

Country Link
US (1) US9296947B2 (ko)
JP (1) JP5942985B2 (ko)
KR (1) KR101962191B1 (ko)
TW (1) TWI559401B (ko)
WO (1) WO2012133401A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014185111A (ja) * 2013-03-25 2014-10-02 Nippon Zeon Co Ltd 高純度2,2−ジフルオロブタン
JP2016178222A (ja) * 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6327295B2 (ja) * 2015-08-12 2018-05-23 セントラル硝子株式会社 ドライエッチング方法
JP6748354B2 (ja) 2015-09-18 2020-09-02 セントラル硝子株式会社 ドライエッチング方法及びドライエッチング剤
CN107731686A (zh) * 2016-08-12 2018-02-23 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10629449B2 (en) 2016-10-13 2020-04-21 Kanto Denka Kogyo Co., Ltd. Gas composition for dry etching and dry etching method
CN110546742B (zh) 2017-04-06 2023-09-29 关东电化工业株式会社 干式蚀刻气体组合物及干式蚀刻方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009123038A1 (ja) * 2008-03-31 2009-10-08 日本ゼオン株式会社 プラズマエッチング方法
JP2011044740A (ja) * 2000-04-28 2011-03-03 Daikin Industries Ltd ドライエッチングガスおよびドライエッチング方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3420347A1 (de) 1983-06-01 1984-12-06 Hitachi, Ltd., Tokio/Tokyo Gas und verfahren zum selektiven aetzen von siliciumnitrid
JPS60115232A (ja) * 1983-11-28 1985-06-21 Hitachi Ltd ドライエッチング用ガス
JPH04170026A (ja) 1990-11-02 1992-06-17 Sony Corp ドライエッチング方法
JP2001250817A (ja) 1999-12-28 2001-09-14 Toshiba Corp ドライエッチング方法及び半導体装置の製造方法
TW486733B (en) 1999-12-28 2002-05-11 Toshiba Corp Dry etching method and manufacturing method of semiconductor device for realizing high selective etching
JP4839506B2 (ja) 2000-04-28 2011-12-21 ダイキン工業株式会社 ドライエッチング方法
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
JP2006310634A (ja) * 2005-04-28 2006-11-09 Sharp Corp 半導体装置の製造方法
US8765613B2 (en) * 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011044740A (ja) * 2000-04-28 2011-03-03 Daikin Industries Ltd ドライエッチングガスおよびドライエッチング方法
WO2009123038A1 (ja) * 2008-03-31 2009-10-08 日本ゼオン株式会社 プラズマエッチング方法

Also Published As

Publication number Publication date
US9296947B2 (en) 2016-03-29
WO2012133401A1 (ja) 2012-10-04
TW201250836A (en) 2012-12-16
JPWO2012133401A1 (ja) 2014-07-28
TWI559401B (zh) 2016-11-21
US20140306146A1 (en) 2014-10-16
JP5942985B2 (ja) 2016-06-29
KR20140016912A (ko) 2014-02-10

Similar Documents

Publication Publication Date Title
KR101962191B1 (ko) 플라즈마 에칭 가스 및 플라즈마 에칭 방법
US8535551B2 (en) Plasma etching method
JP6256462B2 (ja) 高純度2−フルオロブタン
JP5131436B2 (ja) エッチング方法
JP4978512B2 (ja) プラズマエッチング方法
TWI653213B (zh) High-purity 1-fluorobutane and plasma etching method
JP2014185111A (ja) 高純度2,2−ジフルオロブタン
KR101814406B1 (ko) 고순도 불소화 탄화수소, 플라즈마 에칭용 가스로서의 사용, 및, 플라즈마 에칭 방법
KR20120104215A (ko) F₂ 및 cof₂를 사용한 플라즈마 식각 및 플라즈마 챔버 세정 방법
WO2003041148A1 (fr) Gaz pour reaction de plasma, son procede de production et d'utilisation
TW201906007A (zh) 電漿處理裝置的陳化方法及電漿蝕刻方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant