TWI559401B - 電漿蝕刻氣體及電漿蝕刻方法 - Google Patents

電漿蝕刻氣體及電漿蝕刻方法 Download PDF

Info

Publication number
TWI559401B
TWI559401B TW101110683A TW101110683A TWI559401B TW I559401 B TWI559401 B TW I559401B TW 101110683 A TW101110683 A TW 101110683A TW 101110683 A TW101110683 A TW 101110683A TW I559401 B TWI559401 B TW I559401B
Authority
TW
Taiwan
Prior art keywords
gas
etching
film
fluoro
tantalum
Prior art date
Application number
TW101110683A
Other languages
English (en)
Other versions
TW201250836A (en
Inventor
伊東安曇
山崎敦代
Original Assignee
日本瑞翁股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本瑞翁股份有限公司 filed Critical 日本瑞翁股份有限公司
Publication of TW201250836A publication Critical patent/TW201250836A/zh
Application granted granted Critical
Publication of TWI559401B publication Critical patent/TWI559401B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C21/00Acyclic unsaturated compounds containing halogen atoms
    • C07C21/02Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds
    • C07C21/18Acyclic unsaturated compounds containing halogen atoms containing carbon-to-carbon double bonds containing fluorine
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

電漿蝕刻氣體及電漿蝕刻方法
本發明係關於當蝕刻覆蓋於被處理物所形成之矽氧化膜或矽膜的矽氮化膜時,將矽氮化膜相對於矽氧化膜或矽膜選擇性蝕刻之電漿蝕刻氣體及使用該蝕刻氣體之電漿蝕刻方法。
碳數3~5之飽和氟化烴氣體已知使用在蝕刻氣體(專利文獻1~3)
例如:專利文獻1中,提出:當蝕刻覆蓋矽氧化膜之矽氮化膜時,作為將矽氮化膜選擇性蝕刻的氣體,使用以CxHyFz(式中,x為3、4或5,y、z各自獨立地表示正整數且y>z)表示之飽和氟化烴。並且於該文獻的實施例中,揭示:2,2-二氟正丁烷不會蝕刻矽氧化膜,而是僅將矽氮化膜以64nm/分鐘的速度選擇性蝕刻(選擇比無限大)。
又,依照專利文獻2,於專利文獻1提出之飽和氟化烴之一的C3H5F3氣體,據推測可能成為高選擇比的蝕刻氣體(段落0106)。其原因在於:由於反應產物沉積於遮罩,使得待蝕刻的部分受蝕刻。
同樣在專利文獻3揭示:C3H7F及C3H6F2與C4F6氣體或氧氣等其他蝕刻氣體一起使用而有當作蝕刻時之保護膜形成物質的作用。
又,專利文獻4記載:使用含有不飽和全氟碳之乾式蝕刻氣體,藉由將矽氧化膜或矽氮化膜等相對於抗蝕劑 或矽進行選擇性蝕刻,能形成高長寬比(aspect ratio)之接觸孔(段落0004)。
如上所述,至今已有人提出幾種將矽氮化膜等相對於矽氧化膜或矽膜等進行選擇性蝕刻之蝕刻技術。
但是近年來,伴隨半導體製造技術之進展,目前需要開發不會對於其他膜,尤其是矽膜或矽氧化膜造成損害,而能將矽氮化膜以相對於矽氧化膜或矽膜等更有選擇性的蝕刻的技術。
[先前技術文獻] [專利文獻]
[專利文獻1]WO2009/123038號小冊(US2011068086)
[專利文獻2]日本特開2001-250817號公報(US2001005634)
[專利文獻3]特表2006-514783號公報(WO2004034445)
[專利文獻4]日本特開2002-016050號公報
本發明係有鑑於上述情事而產生,目的在於提供一種電漿蝕刻氣體、及使用該蝕刻氣體之電漿蝕刻方法,係當蝕刻覆蓋於被處理物所形成之矽氧化膜或矽膜之矽氮化膜時,不會對於矽氧化膜或矽膜造成損害,而可相對於矽氧化膜或矽膜選擇性蝕刻矽氮化膜。
而,就選擇性蝕刻矽氮化膜而言,從不會造成其他 膜尤其矽膜之損害的觀點,不使用在電漿中成為高能量離子物質之氬氣的蝕刻受人重視。
本案發明人等使用在專利文獻1已具體揭示之2,2-二氟正丁烷當作蝕刻氣體,探討在不存在氬氣下,將矽氮化膜相對於矽氧化膜及矽膜進行選擇性蝕刻。但是了解到:對於兩膜之選擇比僅能在約4。
而本案發明人等針對選擇性蝕刻矽氮化膜之蝕刻氣體努力探討,結果發現:若使用以CxHyFz(x=3、4或5;又,y+z≦2x且y>z)表示之不飽和氟化烴當作蝕刻氣體,不僅是對於矽氧化膜,對於矽膜也能獲得優異的蝕刻選擇性,乃完成本發明。
因而依照本發明提供下列(a)、(b)之蝕刻氣體、及(c)之蝕刻方法。
(a)一種蝕刻氣體,其係含有以CxHyFz(x=3、4或5;又,y+z≦2x且y>z)表示之不飽和氟化烴。
(b)如(a)之蝕刻氣體,進一步包含氧氣及/或氮氣。
(c)一種蝕刻方法,係使用如申請專利範圍第1或2項之蝕刻氣體將矽氮化膜相對於矽氧化膜或矽膜進行選擇性蝕刻。
依照本發明,可提供含有以CxHyFz(x=3、4或5;又,y+z≦2x且y>z)表示之不飽和氟化烴之蝕刻氣體。使用本發明之蝕刻氣體,可以將矽氮化膜相對於矽氧化膜或矽膜選擇性蝕刻。
[實施發明之形態]
本發明中,「蝕刻」係指對於半導體製造裝置之製造步驟等使用之被處理物,蝕刻極高度積體化(integrated)的微細圖案的技術,更具體而言,係對於處理氣體(前式表示之不飽和氟化烴氣體)施加高頻電場使其發生輝光放電,使氣體化合物游離成為化學上有活性的離子、電子、自由基,並利用其化學反應進行蝕刻。
本發明中,「矽氧化膜」係以例如矽之熱氧化或以SiH4及O2當作原料氣體之CVD法形成之包含矽之氧化物之膜。
本發明中,「矽膜」係單晶矽、多晶矽、非晶矽等之實質上僅包含Si之膜。
本發明中「矽氮化膜」係例如在以SiH2Cl2及NH3當作原料氣體之約700℃的溫度條件下的減壓CVD法所形成之包含以SiaNb(a、b>0)表示之矽之氮化物之膜。
以下針對本發明詳細說明。
本發明之蝕刻氣體,包含以CxHyFz(x=3、4或5;又,y+z≦2x且y>z)表示之不飽和氟化烴(以下有時稱為「不飽和氟化烴A」)。
不飽和氟化烴A可具有直鏈結構也可具有分支結構,也可具有環狀結構。
不飽和氟化烴A之具體例,可列舉以下者。
(以式:C3H5F表示之不飽和氟化烴)3-氟丙烯、2-氟-1-丙烯、(Z)-1-氟-1-丙烯、(E)-1-氟-1-丙烯
(以式:C3H4F2表示之不飽和氟化烴)3,3-二氟-1-丙烯 、1,1-二氟-1-丙烯、1,2-二氟-1-丙烯
(以式:C3H3F表示之不飽和氟化烴)炔丙氟、氟丙二烯、3-氟-1-環丙烯、1-氟-1-環丙烯、1-氟-1-丙炔
(以式:C4H7F表示之不飽和氟化烴)2-甲基-3-氟-1-丙烯、(E)-1-氟-2-丁烯、3-氟-1-丁烯、(E)-2-氟-2-丁烯、(Z)-2-氟-2-丁烯、環丙基甲基氟、4-氟-1-丁烯
(以式:C4H6F2表示之不飽和氟化烴)1,4-二氟-2-丁烯、1,1-二氟-2-甲基丙烯、(Z)-1,2-二氟-2-丁烯、3,3-二氟-1-丁烯、2-甲基-3,3-二氟-1-丙烯
(以式:C4H5F3表示之不飽和氟化烴)3,3,3-三氟-2-甲基-1-丙烯、4,4,4-三氟-1-丁烯、1,1,1-三氟-2-丁烯
(以式:C4H5F表示之不飽和氟化烴)2-氟-1,3-丁二烯、2-氟-1-亞甲基環丙烷、3-氟環丁烯、3-氟-1-丁炔、(E)-1-氟-1,3-丁二烯、(Z)-1-氟-1,3-丁二烯、4-氟-1-丁炔、1-氟-2-丁炔
(以式:C4H4F2表示之不飽和氟化烴)1,1-二氟-1,3-丁二烯、2,3-二氟-1,3-丁二烯、1,2-二氟-1-環丁烯、3,3-二氟環丁烯、(二氟亞甲基)環丙烷、(1E,3E)-1,4-二氟-1,3-丁二烯、(1E,3Z)-1,4-二氟-1,3-丁二烯、(1Z,3Z)-1,4-二氟-1,3-丁二烯、1-亞甲基-2,2-二氟環丙烷、3,4-二氟環丁烯
(以式:C4H3F表示之不飽和氟化烴)1-氟環丁二烯、1-氟-1,2,3-丁烷三烯
(以式:C5H9F表示之不飽和氟化烴)5-氟-1-戊烯、(E)-4-氟-2-戊烯、(Z)-4-氟-2-戊烯、2-氟-3-甲基-2-丁烯
(以式:C5H8F2表示之不飽和氟化烴)2-甲基-1,1-二氟-1-丁烯
(以式:C5H7F3表示之不飽和氟化烴)4,4,4-三氟-2-甲基-1-丁烯、4,4,4-三氟-2-甲基-2-丁烯、5,5,5-三氟-1-戊烯、3-三氟甲基-1-丁烯、1-甲基-1-(三氟甲基)環丙烷
(以式:C5H7F表示之不飽和氟化烴)5-氟-1,3-戊二烯、2-氟甲基-1,3-丁二烯、[氟亞甲基]環丁烷、1-氟-1-環戊烯、(E)-4-氟-1,3-戊二烯、(Z)-4-氟-1,3-戊二烯、(E)-5-氟-1,3-戊二烯、(Z)-3-氟-1,3-戊二烯、5-氟-2-戊炔、1-氟-1,3-戊二烯、3-氟-3-甲基-1-丁炔、2-氟-3-甲基-1,3-丁二烯、5-氟-1-戊炔、3-氟-1-環戊烯
(以式:C5H6F2表示之不飽和氟化烴)3,4-二氟環戊烯、3,5-二氟環戊烯、1,1-二氟-2-甲基-3-亞甲基環丙烷、1,1-二氟-2-亞乙基環丙烷、1-甲基-2-(二氟亞甲基)環丙烷、1,1-二氟-2-甲基-1,3-丁二烯、1,1-二氟-3-甲基-1,3-丁二烯、3,3-二氟-1,4-戊二烯、(Z)-2,4-二氟-1,3-戊二烯、1,1-二氟-2-乙烯基環丙烷
(以式:C5H5F3表示之不飽和氟化烴)3-(三氟甲基)環丁烯、2-(三氟甲基)-1,3-丁二烯、1,1,3-三氟-2-甲基-1,3-丁二烯、1,1,2-三氟-1,3-戊二烯、1,1,2-三氟-1,4-戊二烯、(E)-5,5,5-三氟-1,3-戊二烯、(Z)-5,5,5-三氟-1,3-戊二烯、(1Z,3E)-1,3,5-三氟-1,3-戊二烯、(1E,3E)-1,3,5-三氟-1,3-戊二烯
(以式:C5H5F表示之不飽和氟化烴)5-氟-1,3-環戊二烯、5,5-二氟-1,3-環戊二烯
(以式:C5H3F表示之不飽和氟化烴)1-氟-1,3-戊二炔該等不飽和氟化烴A可以單獨使用一種或混合使用二種以上,但從更顯著顯現本發明之效果之觀點,單獨使用一種較佳。
該等之中,從蝕刻性能及取得或操作容易度之觀點,4-氟-1-丁烯、2-甲基-3-氟-1-丙烯、及1,1-二氟-2-甲基丙烯較佳。
不飽和氟化烴A有許多為周知物質,能以先前周知的方法製造、取得。
例如可依照Journal of American Chemical Society,78,2608(1956)記載的方法製造並取得。又,也可將市售品直接使用或依需求精製後使用。
不飽和氟化烴A可以填充於任意容器,例如與先前之半導體用氣體同樣填充於鋼瓶等容器而使用在後述電漿蝕刻。
不飽和氟化烴A(氣體)之純度較佳為99容量%以上,更佳為99.9容量%以上,尤佳為99.98容量%以上。藉由使純度處於上述範圍內,本發明之效果會更提高。又,不飽和氟化烴A(氣體)之純度若過低,於填充有氣體之容器內,有時氣體純度(不飽和氟化烴之含量)會發生偏差(bias)。具體而言,有時在使用起始階段與殘留量減少的階段,氣體純度會大不相同。
於如此的情形,進行電漿蝕刻時,於起始階段、與殘留量減少的的階段在使用各個的氣體時的性能會產生巨大差異,有導致工廠生產線的生產率下降之虞。因此 藉由提高純度,可消除容器內之氣體純度之偏差,消除在使用起始階段以及殘留量減少的階段使用氣體時的性能差異,能夠不浪費地使用氣體。
又,不飽和氟化烴A之純度,係利用內部標準物質法之氣體層析分析測定之重量基準之百分率(%)所導出的容量基準的純度。
一般而言,如後述,蝕刻氣體係在不飽和氟化烴A氣體中適當混合氧氣或氮氣或鈍性氣體後以混合氣體的形式使用。
然而,不飽和氟化烴A中有時會存在作為雜質之空氣或生產設備內之氮氣等;製造時使用之溶劑;由吸濕性高之鹽、鹼等而來的水分等;當作微量成分。
於容器所充填之不飽和氟化烴A中,若混雜有氮氣、氧氣、水分等,必需考慮其量調整混合氣體。其原因為:混雜的氣體會在電漿反應裝置內解離而產生各種游離基(蝕刻物質),所以會對於不飽和氟化烴A之電漿反應造成巨大影響。
又,當填充有不飽和氟化烴氣體A之容器內存在氮氣、氧氣、水分等雜質時,於開封該容器之時點以及容器內之不飽和氟化烴A氣體之殘留量減少的時點,來自容器之氟化不飽和烴氣體與雜質的組成會有差異,無法獲得相同蝕刻性能。
因此,在不飽和氟化烴A中所含之當作殘餘微量氣體的氮氣及氧氣之量,兩者之合計量宜相對於氟化烴(1)氣體總量為200容量ppm以下較佳,150容量ppm以下更佳, 100容量ppm以下尤佳。此外,水分含量宜為30重量ppm以下較佳,20重量ppm以下更佳,10重量ppm以下尤佳。
在此,氮氣與氧氣之合計量,係利用絕對校正曲線法以氣體層析分析測定之氮氣及氧氣之容量基準之合計含量(ppm)。又,該等容量基準也可稱為莫耳基準。「水分之含量」通常係利用卡爾費雪法測定之重量基準之水分之含量(ppm)。
本發明中,蝕刻氣體除了不飽和氟化烴A氣體以外宜更包含氧氣及/或氮氣較佳。藉由併用氧氣及/或氮氣,能防止據認為係由於在孔道(hole)底面有反應物況積等所致之蝕刻停止(etching stop)且同時能分外提高選擇比。
氧氣及氮氣之使用比例,相對於不飽和氟化烴A氣體,以氧氣、氮氣、或氧氣及氮氣之合計容量比計,為0.1~150較佳,1~15更佳。
處理氣體之導入速度,與各成分之使用比例成比例,設定為例如不飽和氟化烴氣體8.45×10-3~1.69×10-1Pa.m3/sec(5~100sccm)、氧氣8.45×10-3~8.45×10-1Pa.m3/sec(5~1500sccm)等即可。
導入有蝕刻氣體之處理室內之壓力,通常為0.1~100Pa,較佳為1~10Pa。
其次藉由電漿產生裝置,對於處理室內之不飽和氟化烴A氣體(反應性電漿氣體)施加高頻電場,使其發生輝光放電並產生電漿。
電漿產生裝置可舉例如螺旋波(helicone wave)方式 、高頻感應方式、平行平板型、磁控管方式及微波方式等裝置,但從容易產生高密度區域之電漿的觀點,螺旋波方式、高頻感應方式及微波方式之裝置較為理想。
電漿密度不特別限定。從能更良好地展現本發明效果之觀點,宜於電漿密度較佳為1011離子/cm3以上,更佳為1012~1013離子/cm3之高密度電漿氣體環境下進行蝕刻為理想。
蝕刻時被處理基板之到達溫度不特別限定,較佳為-50~+300℃,更佳為-20~+100℃,更佳為0~50℃之範圍。基板溫度可藉由冷卻等控制,也可不控制。
本發明之電漿蝕刻方法,如上所述,係在處理室內產生蝕刻氣體之電漿,並將配置於其內部的被處理物上的既定部位加以蝕刻之方法,係使用含有不飽和氟化烴之蝕刻氣體,但宜為選擇性將矽氮化膜進行電漿蝕刻之方法較佳,更佳為相對於矽氧化膜及/或矽膜將矽氮化膜選擇性地進行電漿蝕刻之方法。
藉由以上述蝕刻條件將矽氮化膜及/或矽膜進行蝕刻,可獲得矽氮化膜相對於矽氧化膜及/或矽膜之選擇比至少為10以上,許多情形可獲得無限大的選擇比,能避免由於況積物導致之蝕刻停止,且同時與以往相比,可獲得分外高的選擇比。
藉由使用本發明之蝕刻氣體,能相對於矽氧化膜或矽膜將矽氮化膜選擇性地蝕刻。更具體而言,能將疊層於矽氧化膜或矽膜的矽氮化膜以高度選擇性地進行蝕刻。
[實施例]
以下利用實施例對於本發明更詳細說明,但本發明之範圍並非由以下實施例限定。又,若無特別指明,「份」及「%」分別代表「重量份」及「重量%」。
(1)製造例1 4-氟-1-丁烯之製造
於1公升之四口燒瓶中放入3-丁烯-1-醇(72g)、乾燥四氫呋喃(400ml)及甲烷磺醯氯(126g),放置於氮氣流下。將燒瓶以冰水充分冷卻,從滴加漏斗緩慢地滴加三乙胺(111g)。滴加結束後,於冰水冷卻下攪拌約30分鐘,之後回到室溫繼續攪拌約4小時。反應結束後,添加四氫呋喃100ml,分濾反應生成的鹽(三乙胺鹽酸鹽)。使用蒸發器從濾液餾去大部分的四氫呋喃。
於獲得之殘渣中添加二乙醚200ml,依序以5%鹽酸、飽和碳酸氫鈉水溶液、飽和食鹽水洗滌後,以無水硫酸鎂乾燥,並分濾硫酸鎂。使用蒸發器從濾液餾去二乙醚,獲得黃褐色油的4-甲烷磺醯氧基-1-丁烯(以下稱為「甲磺酸酯(mesylate)」)126g(產率84%)。
其次於具備單蒸餾裝置之冷凝器及具備三一馬達(three one motor)之四口燒瓶中,放入噴霧乾燥過的氟化鉀及二乙二醇,邊於氮氣流下攪拌邊加熱到90℃。於其中以滴加漏斗滴加前述甲磺酸酯。將全部容量於90℃攪拌6小時後,冷卻至室溫。之後,將全部容量於1.33×104~1.4×104Pa(100mmHg~105mmHg)之減壓下於60℃反應,並利用減壓蒸餾法精製,獲得目的之4-氟-1-丁烯122g。獲得之4-氟-1-丁烯之純度為99.5%。
(2)製造例2 2-甲基-3-氟丙烯之製造
於具備有0℃冷媒循環之Dimroth型冷凝器的500ml玻璃製反應器中,裝入第三丁基氟化銨3水合物75.7g(0.24mol)、乾燥二甲基亞碸120ml,使第三丁基氟化銨3水合物溶解。於該溶液添加3-氯-2-氯甲基丙烯(metallyl chloride)18.1g(0.2mol),於氮氣環境下攪拌10小時。藉由將反應混合物進行蒸餾,獲得目的之2-甲基-3-氟丙烯10.8g(產率73%)。獲得之2-甲基-3-氟丙烯之純度為99.8%。
(3)製造例3 1,1-二氟-2-甲基丙烯之製造
準備具備Dimroth型冷凝器及滴加漏斗之100ml之3口燒瓶(反應器),於Dimroth型冷凝器的上部裝配玻璃配管,介由分支管安裝經浸於乾冰/乙醇浴的梨型燒瓶。又,從分支管導入氮氣,並於Dimroth型冷凝器中使15℃之冷媒循環。
在前述反應器內裝入LiAlH4 4.36g(0.115mol),並添加乾燥四氫呋喃50ml,將全部容量於室溫攪拌。於其中使用滴加漏斗費時1小時緩慢滴加3-氯-3,3-二氟-2-甲基丙烯12.36g(0.1mol)。滴加結束後,將反應器加溫到60℃,再於同溫度繼續進行4小時反應,之後將在Dimroth型冷凝器循環的冷媒的溫度提高到20℃,再將反應混合物於65℃加熱攪拌1小時。
從獲得之反應混合物利用蒸餾獲得目的之1,1-二氟-2-甲基丙烯6.35g(產率69%)。獲得之1,1-二氟-2-甲基丙烯之純度為99.5%。
<實施例1~3、比較例1、2>
於平行平板型電漿蝕刻裝置之蝕刻腔室內,分別安置表面形成有矽氮化膜(Si3N4;下表1中表示記載為「SiN」)的晶圓(1)、表面形成有矽氧化膜(SiO2;表中為SiO)之晶圓(2)、及表面形成有多結晶矽膜(poly-Si;表中為Si)的晶圓(3),使系統內成為真空之後,以2.03×10-1Pa.m3/sec(120sccm)的速度將氧氣導入蝕刻腔室內,並將上述製造例1~3獲得之不飽和氟化烴氣體分別以1.69×10-2~1.69×10-1Pa.m3/sec(10~100sccm)之流量導入。維持系內之壓力為6.7Pa,並對於上部電極施加200W(60MHz)、對於下部電極施加100W(2MHz),進行電漿蝕刻。然後,分別測定晶圓(1)之矽氮化膜、晶圓(2)之矽氧化膜、及晶圓(3)之矽膜的蝕刻速度,依據該等測定結果從矽氮化膜相對於矽氧化膜及矽膜之蝕刻速度之比求取選擇比。
本發明之蝕刻氣體之流量別的矽氮化膜、矽氧化膜及矽膜的蝕刻速度之測定結果、及選擇比之計算結果,如表1所示。又,當蝕刻未進展,而況積來自於蝕刻氣體之氟碳膜時,在表中表示為「沉積」。
從表1所示之矽氮化膜、矽氧化膜或矽膜分別的蝕刻速度的結果,可知:於實施例1~3之情形,於未併用氬氣之條件下,矽氮化膜受選擇性蝕刻(選擇比無限大)。

Claims (2)

  1. 一種蝕刻方法,其係使用包含以CxHyFz(x=3、4或5;又,y+z≦2x且y>z)表示之不飽和氟化烴之蝕刻氣體,相對於矽氧化膜或矽膜選擇性地蝕刻矽氮化膜。
  2. 如申請專利範圍第1項之蝕刻方法,其中,該蝕刻氣體係進一步包含氧氣及/或氮氣。
TW101110683A 2011-03-29 2012-03-28 電漿蝕刻氣體及電漿蝕刻方法 TWI559401B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011072192 2011-03-29

Publications (2)

Publication Number Publication Date
TW201250836A TW201250836A (en) 2012-12-16
TWI559401B true TWI559401B (zh) 2016-11-21

Family

ID=46931117

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101110683A TWI559401B (zh) 2011-03-29 2012-03-28 電漿蝕刻氣體及電漿蝕刻方法

Country Status (5)

Country Link
US (1) US9296947B2 (zh)
JP (1) JP5942985B2 (zh)
KR (1) KR101962191B1 (zh)
TW (1) TWI559401B (zh)
WO (1) WO2012133401A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014185111A (ja) * 2013-03-25 2014-10-02 Nippon Zeon Co Ltd 高純度2,2−ジフルオロブタン
JP2016178222A (ja) * 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP6327295B2 (ja) * 2015-08-12 2018-05-23 セントラル硝子株式会社 ドライエッチング方法
JP6748354B2 (ja) 2015-09-18 2020-09-02 セントラル硝子株式会社 ドライエッチング方法及びドライエッチング剤
CN107731686A (zh) * 2016-08-12 2018-02-23 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10629449B2 (en) 2016-10-13 2020-04-21 Kanto Denka Kogyo Co., Ltd. Gas composition for dry etching and dry etching method
JP7036799B2 (ja) 2017-04-06 2022-03-15 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60115232A (ja) * 1983-11-28 1985-06-21 Hitachi Ltd ドライエッチング用ガス
JPH04170026A (ja) * 1990-11-02 1992-06-17 Sony Corp ドライエッチング方法
WO2009123038A1 (ja) * 2008-03-31 2009-10-08 日本ゼオン株式会社 プラズマエッチング方法
JP2011044740A (ja) * 2000-04-28 2011-03-03 Daikin Industries Ltd ドライエッチングガスおよびドライエッチング方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3420347A1 (de) 1983-06-01 1984-12-06 Hitachi, Ltd., Tokio/Tokyo Gas und verfahren zum selektiven aetzen von siliciumnitrid
TW486733B (en) 1999-12-28 2002-05-11 Toshiba Corp Dry etching method and manufacturing method of semiconductor device for realizing high selective etching
JP2001250817A (ja) 1999-12-28 2001-09-14 Toshiba Corp ドライエッチング方法及び半導体装置の製造方法
JP4839506B2 (ja) 2000-04-28 2011-12-21 ダイキン工業株式会社 ドライエッチング方法
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
JP2006310634A (ja) * 2005-04-28 2006-11-09 Sharp Corp 半導体装置の製造方法
US8765613B2 (en) * 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60115232A (ja) * 1983-11-28 1985-06-21 Hitachi Ltd ドライエッチング用ガス
JPH04170026A (ja) * 1990-11-02 1992-06-17 Sony Corp ドライエッチング方法
JP2011044740A (ja) * 2000-04-28 2011-03-03 Daikin Industries Ltd ドライエッチングガスおよびドライエッチング方法
WO2009123038A1 (ja) * 2008-03-31 2009-10-08 日本ゼオン株式会社 プラズマエッチング方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
S.J. Moss and K.R. Jennings, "Relative Rate Constants for the Reaction of Ground-State Oxygen Atoms with Partly Fluorinated Propylenes and Butenes", Trans. Faraday Soc., vol. 65, year 1969, pages 415- 423 *

Also Published As

Publication number Publication date
KR101962191B1 (ko) 2019-03-26
KR20140016912A (ko) 2014-02-10
JPWO2012133401A1 (ja) 2014-07-28
TW201250836A (en) 2012-12-16
US9296947B2 (en) 2016-03-29
JP5942985B2 (ja) 2016-06-29
US20140306146A1 (en) 2014-10-16
WO2012133401A1 (ja) 2012-10-04

Similar Documents

Publication Publication Date Title
TWI559401B (zh) 電漿蝕刻氣體及電漿蝕刻方法
KR102625367B1 (ko) 반도체 구조를 에칭하기 위한 질소-함유 화합물
JP6485972B2 (ja) Tsv/mems/パワーデバイスエッチング用の化学物質
US6991959B2 (en) Method of manufacturing silicon carbide film
JP6781165B2 (ja) ホウ素含有化合物、組成物、及びホウ素含有膜の堆積方法
JP2020515047A (ja) 半導体構造エッチング用ヨウ素含有化合物
US20190074176A1 (en) Oxide with higher utilization and lower cost
JP6959304B2 (ja) ケイ素及び窒素を含有する膜を製造する方法
KR101442308B1 (ko) 플라즈마 에칭 방법
US20100059887A1 (en) Semiconductor device having insulating film with surface modification layer and method for manufacturing the same
TW201730142A (zh) 用於高縱橫比氧化物蝕刻之氟碳分子
JP2008274365A (ja) Si含有膜形成材料、Si含有膜及びその製造方法、並びに半導体デバイス
JP2008300616A (ja) エッチング方法
JP5353845B2 (ja) 環状シロキサン化合物
TWI653213B (zh) High-purity 1-fluorobutane and plasma etching method
JP3909320B2 (ja) 有機金属化学気相成長法用原料の合成方法
JP2014041849A (ja) プラズマ反応用ガス及びその利用
WO2018159368A1 (ja) ドライエッチング剤、ドライエッチング方法及び半導体装置の製造方法
JP2006156539A (ja) プラズマ反応用ガス
JP2011009556A (ja) 半導体装置の製造方法及び半導体装置
JP5019742B2 (ja) 環状シロキサン化合物、Si含有膜形成材料、およびその用途
WO2008075637A1 (ja) プラズマ反応用ガス、ドライエッチング方法およびフルオロカーボン膜の成膜方法
TW201906007A (zh) 電漿處理裝置的陳化方法及電漿蝕刻方法
JP2003261499A (ja) 銅錯体及び該錯体を含む混合物並びにそれを用いて作製された銅薄膜
JPH07254599A (ja) 絶縁膜の成膜方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees