DE112011102011B4 - Verfahren zum Herstellen eines Tunnel-FET mit vertikalem Heteroübergang und Feldeffekttransistor-Einheit - Google Patents

Verfahren zum Herstellen eines Tunnel-FET mit vertikalem Heteroübergang und Feldeffekttransistor-Einheit Download PDF

Info

Publication number
DE112011102011B4
DE112011102011B4 DE112011102011.2T DE112011102011T DE112011102011B4 DE 112011102011 B4 DE112011102011 B4 DE 112011102011B4 DE 112011102011 T DE112011102011 T DE 112011102011T DE 112011102011 B4 DE112011102011 B4 DE 112011102011B4
Authority
DE
Germany
Prior art keywords
silicon
source region
layer
region
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112011102011.2T
Other languages
English (en)
Other versions
DE112011102011T5 (de
Inventor
Paul Michael Solomon
Steven John Koester
Amlan Majumdar
Isaac Lauer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112011102011T5 publication Critical patent/DE112011102011T5/de
Application granted granted Critical
Publication of DE112011102011B4 publication Critical patent/DE112011102011B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0895Tunnel injectors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren zum Herstellen eines Heteroübergang-Tunnel-Feldeffekttransistors (100), wobei das Verfahren aufweist: Bilden eines Gate-Bereichs (145) auf einer Siliciumschicht (115) eines Silicium-auf-Isolator-Substrats (105, 110); Bilden eines Drain-Bereichs (120) auf der Siliciumschicht (115), benachbart zu dem Gate-Bereich (145); und Bilden eines Source-Bereichs (125) mit vertikalem Heteroübergang (126) benachbart zu dem Gate-Bereich (145), wobei der Source-Bereich (125) mit vertikalem Heteroübergang (126) einen Tunnelweg (140) parallel zu einem Gate-Feld, das mit dem Gate-Bereich (145) verbunden ist, erzeugt.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung betrifft die Halbleiterherstellung, insbesondere Systeme und Verfahren zum Herstellen eines Tunnel-Feldeffekttransistors (FET) mit vertikalem Heteroübergang unter Anwendung eines planaren Verfahrens.
  • Tunnel-FETs werden als Ersatz/zur Ergänzung bestehender CMOS-Technologie vorgeschlagen. Tunnel-FET-Strukturen mit einem Heteroübergang an der Source-Seite der Einheit sind bevorzugt, da sie die Leistungsfähigkeit der Einheit verbessern können, während sie parasitisches ambipolares Verhalten an der Drain-Seite unterdrücken. Bestehende Bauarten von Tunnel-FETs verwenden meist einen Tunnelübergang senkrecht zu dem Gate, nicht parallel dazu, wodurch die Wirksamkeit des Gate-Felds verringert wird.
  • Beispielsweise wird in dem Dokument Krishnamohan, Tejas et al. ”Double-Gate Strained-Ge Heterostructure Tunneling FET (TFET) With Record High Drive Currents and < 60 mV/dec Subthreshhold Slope”, IEEE International Electron Devices Meeting, 2008, IEDM 2008, 15.–17. Dez. 2008, ein ebensolcher Tunnel-FET mit vertikalem Heteroübergang vorgestellt. Ferner wird auf die Problematik des ambipolaren Verhaltens von TFETs eingegangen und TFET Simulator vorgestellt.
  • Ferner offenbart das Dokument US 2010/0059737 A1 einen Tunnel-FET mit Übergitter-Kanälen im Sourcebereich, während das Dokument US 2007/0178650 A1 die Herstellung eines TFET ohne Heteroübergang beschreibt.
  • ZUSAMMENFASSUNG
  • Die vorliegende Erfindung wird in den anhängenden Ansprüchen definiert, die nun herangezogen werden sollten. Bevorzugte Ausführungsformen der Erfindung stellen einen Tunnel-FET, der einen Gate-parallelen Tunnelweg mit einem rein Source-seitigen Heteroübergang aufweist, und Verfahren zum Herstellen davon bereit. Andere Bauarten, die einen Gate-parallelen Tunnelweg verwenden, weisen entweder keinen Heteroübergang auf oder sie weisen einen auf, der auch an der Drain-Seite vorliegt, wodurch der parasitische ambipolare Strom erhöht wird.
  • Beispielhafte Ausführungsformen schließen ein Verfahren zum Herstellen eines Heteroübergang-Tunnel-Feldeffekttransistors (FET) ein, wobei das Verfahren das Bilden eines Gate-Bereichs auf einer Siliciumschicht eines Silicium-auf-Isolator(SOI)-Substrats, Bilden eines Drain-Bereichs auf der Siliciumschicht benachbart zu dem Gate-Bereich und Bilden eines Source-Bereichs mit vertikalem Heteroübergang benachbart zu dem Gate-Bereich aufweist, wobei der Source-Bereich mit vertikalem Heteroübergang einen Tunnelweg parallel zu einem Gate-Feld, das mit dem Gate-Bereich verbunden ist, erzeugt.
  • Weitere Ausführungsformen schließen ein Verfahren zum Herstellen eines Heteroübergang-Tunnel-Feldeffekttransistors (FET) ein, wobei das Verfahren das Bilden eines Gate-Bereichs auf einer Siliciumschicht eines Silicium-auf-Isolator(SOI)-Substrats, Bilden eines n-Typ-Drain-Bereichs auf der Siliciumschicht benachbart zu dem Gate-Bereich und Bilden eines Source-Bereichs mit vertikalem Heteroübergang benachbart zu dem Gate-Bereich aufweist, wobei der Source-Bereich mit vertikalem Heteroübergang eine p-Typ-Source-Bereich-Schicht aufweist, die zwischen einer ersten n-Typ-Halbleiterschicht und einer zweiten n-Typ-Halbleiterschicht angeordnet ist.
  • Weitere Ausführungsformen schließen eine Feldeffekttransistor(FET)-Einheit ein, aufweisend ein Silicium-auf-Isolator(SOI)-Substrat, einen Gate-Bereich, der auf dem SOI-Substrat angeordnet ist, einen Drain-Bereich benachbart zu dem Gate-Bereich und einen Source-Bereich mit vertikalem Heteroübergang benachbart zu dem Gate-Bereich, wobei der Source-Bereich mit vertikalem Heteroübergang einen Tunnelweg parallel zu einem Gate-Feld, das mit dem Gate-Bereich verbunden ist, erzeugt.
  • Weitere beispielhafte Ausführungsformen schließen eine Feldeffekttransistor(FET)-Einheit ein, aufweisend ein Silicium-auf-Isolator(SOI)-Substrat, aufweisend eine Siliciumsubstratschicht, eine vergrabene Oxidschicht (isolierend), die auf der Siliciumsubstratschicht angeordnet ist, und eine Siliciumschicht, die auf der vergrabenen Oxidschicht angeordnet ist, wobei ein Teil der Siliciumschicht vom n-Typ ist und in einem Source-Bereich mit vertikalem Heteroübergang angeordnet ist, eine Oxidschicht, die auf der Siliciumschicht angeordnet ist, ein Gate, das auf der Oxidschicht angeordnet und in Spacern und einer Hartmaske verkapselt ist, einen n-Typ-Drain-Bereich benachbart zu dem Gate-Bereich, einen p-Typ-Source-Bereich, der teilweise unterhalb des Abschnitts der n-Typ-Siliciumschicht angeordnet ist, und eine n-Typ-Siliciumschicht, die unterhalb des p-Typ-Source-Bereichs angeordnet ist, wobei der Source-Bereich mit vertikalem Heteroübergang einen Tunnelweg parallel zu einem Gate-Feld, das mit dem Gate-Bereich verbunden ist, erzeugt.
  • Durch die Verfahren gemäß der vorliegenden Erfindung werden weitere Merkmale und Vorteile erzielt. Andere Ausführungsformen und Gesichtspunkte der Erfindung werden hierin ausführlich beschrieben und werden als Teil der beanspruchten Erfindung angesehen. Zum besseren Verständnis der Erfindung mit den Vorteilen und Merkmalen wird auf die Beschreibungen und die Abbildungen verwiesen.
  • KURZE BESCHREIBUNG DER VERSCHIEDENEN ANSICHTEN DER ABBILDUNGEN
  • Der Gegenstand, der als die Erfindung angesehen wird, wird in den Ansprüchen am Ende der Beschreibung besonders hervorgehoben und ausdrücklich beansprucht. Die vorstehend genannten und andere Merkmale und Vorteile der Erfindung werden aus der nachstehenden ausführlichen Beschreibung in Verbindung mit den begleitenden Abbildungen ersichtlich, wobei:
  • 1 ein Beispiel eines Tunnel-FETs mit vertikalem Heteroübergang, hergestellt gemäß beispielhaften Ausführungsformen, darstellt;
  • 2 eine herkömmliche Corner-Einheit darstellt;
  • 3 eine Id-Vg-Auftragung darstellt;
  • 4 eine Auftragung des Subschwellen-Anstiegs (STS) gegen den Drain-Strom darstellt;
  • 5 ein Flussdiagramm für ein Verfahren zum Herstellen eines Tunnel-FETs mit vertikalem Heteroübergang gemäß beispielhaften Ausführungsformen darstellt;
  • 6 eine Anfangsstruktur darstellt;
  • 7 eine Zwischenstruktur darstellt, bei der der Drain-Bereich strukturiert und gebildet worden ist;
  • 8 eine Zwischenstruktur darstellt, bei der ein Bereich für den vertikalen Heteroübergang strukturiert und gebildet worden ist;
  • 9 eine Zwischenstruktur darstellt, bei der ein Unterschnittbereich für den Source-Bereich strukturiert und gebildet worden ist;
  • 10 eine Zwischenstruktur darstellt, bei der ein Bereich für einen vertikalen Heteroübergang dotiert worden ist; und
  • 11 eine Zwischenstruktur darstellt, bei der ein Source-Bereich gebildet worden ist.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Beispielhafte Ausführungsformen schließen eine Vorrichtung und ein Verfahren zum Herstellen eines Heteroübergang-Tunnel-FETs mit dem Tunnelweg parallel zu dem Gate ein, hergestellt in einem planaren Verfahren, das einen Gate-parallelen Tunnelweg mit einem rein Source-seitigen Heteroübergang liefert. Die hierin beschriebenen beispielhaften Ausführungsformen schließen Tunnel-FETs als Ersatz für/zur Ergänzung von MOSFETs ein. Bei beispielhaften Ausführungsformen erlaubt ein Heteroübergang an der Source eine niedrige wirksame Bandlücke an dem Source-Injektionspunkt, während eine hohe Bandlücke an der Drain-Seite aufrecht gehalten wird, wodurch parasitisches ambipolares Verhalten unterdrückt wird. Beispielhafte Ausführungsformen schließen ferner Tunnelwege parallel zu dem Gate-Feld auf.
  • 1 stellt ein Beispiel einer Tunnel-FET-Einheit 100 mit vertikalem Heteroübergang dar, hergestellt gemäß beispielhaften Ausführungsformen. Bei beispielhaften Ausführungsformen kann die Einheit 100 ein Siliciumsubstrat 105 aufweisen. Wie hierin weiter beschrieben, kann das Siliciumsubstrat 105 ein Teil einer Silicium-auf-Isolator(SOI)-Einheit sein, die eine vergrabene Oxidschicht 110 (beispielsweise SiO2) und eine Siliciumschicht 115 aufweisen kann. Die Einheit 100 kann ferner einen Drain-Bereich 120 aufweisen, der ein N+ Si-Drain sein kann.
  • Die Einheit 100 kann ferner einen Source-Bereich 125 aufweisen, der eine P+ SiGe-Source sein kann. Bei beispielhaften Ausführungsformen ist der Source-Bereich 125 ein Teil einer Source mit Heteroübergang, aufweisend den Source-Bereich 125, einen Halbleiterbereich 130 aus einem anderen Halbleitermaterial als der Source-Bereich 125 und einen Halbleiterbereich 135 aus einem anderen Halbleitermaterial als der Source-Bereich. Bei beispielhaften Ausführungsformen sind die Halbleiterbereiche 130, 135 ähnliche halbleitende Materialien wie hierin weiter beschrieben. Ähnlich können die Halbleiterbereiche 130, 135 die gleichen halbleitenden Materialien an dem Drain-Bereich 120 sein. Bei dem Beispiel von 1 ist der Source-Bereich P+ SiGe und die Halbleiterbereiche (und auch der Drain-Bereich) sind N+ Si. Wie hierin beschrieben, erzeugt der vertikale Heteroübergang, einschließlich des Source-Bereichs 125, der zwischen den Halbleiterbereichen 130, 135 geschichtet ist, eine niedrige wirksame Bandlücke an dem Source-Injektionspunkt, während eine hohe Bandlücke an dem Drain-Bereich 120 aufrecht gehalten wird, wodurch parasitisches ambipolares Verhalten unterdrückt wird. Ferner erzeugt der vertikale Heteroübergang 126 einen Tunnelweg 140 parallel zu dem Gate-Feld, was eine wünschenswerte Qualität gegenüber Tunnelwegen darstellt, die wie im Stand der Technik mit einem Winkel auftreten, wie hierin weiter diskutiert wird. Bei beispielhaften Ausführungsformen weist die Einheit 100 ferner ein Gate 145 auf, das auf einer isolierenden Schicht 150 (beispielsweise eine Oxidschicht) angeordnet ist. Bei beispielhaften Ausführungsformen kann das Gate Spacer 155 und eine Hartmaske 160 aufweisen. Wie im Fachgebiet bekannt, können die Spacer 155 und die Hartmaske eingesetzt werden, um das Gate 145 bei der Herstellung der Einheit 100 zu strukturieren, und können aus jedem geeigneten Material bestehen, einschließlich, aber nicht darauf beschränkt, Siliciumnitrid. Bei einem weiteren Beispiel können die Gate-Spacer eine Mehrschichtstruktur aufweisen und können Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid oder ein anderes dielektrisches Material aufweisen. Bei beispielhaften Ausführungsformen werden die Spacer 155 und die Hartmaske 160 des Gates 145 gebildet, um das Gate 145 während des Herstellungsverfahrens zu schützen. Die Verkapselung kann die Oxidation des Gates 145 verhindern und auch die Reparatur oder Wiederherstellung der Stöchiometrie des Gates 145 ermöglichen, das während der Strukturierung des Gates beschädigt oder verändert werden kann.
  • Wie vorstehend diskutiert, erzeugt der vertikale Heteroübergang einen Tunnelweg 140 parallel zu einem Gate-Feld. 2 stellt eine herkömmliche Corner-Einheit 200 dar, bei der ein Tunnelweg 240 von der Source 225 zu dem Gate 245 mit einem Winkel zu dem Gate 245 erzeugt wird. 3 stellt eine Id-Vg-Auftragung 300 dar, in der die Beispieleinheit 100 mit der herkömmlichen Einheit 200 verglichen wird, um die Vorteile des Tunnelwegs 140 parallel zu dem Gate-Feld gegenüber einem Tunnelweg 240 mit einem Winkel zu dem Gate 245 darzustellen. Wie dargestellt, ist bei der Beispieleinheit bei Erhöhung der Gate-Spannung Vg der Drain-Strom Id 100-mal höher als bei einer gegebenen Gate-Spannung bei der herkömmlichen Einheit 200. 4 stellt eine Auftragung 400 des Subschwellen-Anstiegs („subthreshold slope”, STS) gegen den Drain-Strom für die Beispieleinheit 100 und die herkömmliche Einheit dar. Wie gezeigt, weist die Beispieleinheit bei einem gegebenen STS eine 10.000-fache Zunahme des Drain-Stroms auf.
  • Nun werden beispielhafte Verfahren zum Herstellen der Einheit 100 diskutiert. 5 stellt ein Flussdiagramm für ein Verfahren 500 zu Herstellen eines Tunnel-FETs mit vertikalem Heteroübergang gemäß beispielhaften Ausführungsformen dar. Bei den beispielhaften Ausführungsformen ist dem Fachmann klar, dass die hierin beschriebenen beispielhaften FETs auch mit herkömmlichen CMOS-Verfahren hergestellt werden können. Die 6 bis 11 stellen erhaltene Zwischenstrukturen für jeden der hierin beschriebenen Herstellungsschritte dar.
  • 6 stellt eine Anfangsstruktur 600 dar, die das verkapselte Gate 145 umgeben von den Spacern 155 und der Hartmaske 160 aufweist, auf einer SOI-Struktur, die das Siliciumsubstrat 105, die vergrabene Oxidschicht 110 und die zusätzliche Siliciumschicht 115 aufweist. Bei beispielhaften Ausführungsformen kann die Anfangsstruktur 600 mit im Fachgebiet bekannten CMOS-Verfahren hergestellt werden. Bei Block 505 werden Standard-Maskierungs- und Lithographieverfahren eingesetzt, um die Ausgangsstruktur zu maskieren, um den Drain-Bereich 120 zu strukturieren. 7 stellt eine Zwischenstruktur 700 dar, bei der der Drain-Bereich 120 strukturiert und gebildet worden ist. Bei beispielhaften Ausführungsformen wird der Drain-Bereich 120 gebildet, indem der Drain-Bereich 120 mit im Fachgebiet bekannten Herstellungsverfahren implantiert und getempert wird. Beispielsweise kann der Drain-Bereich 120 mit einer n-Typ-Dotierstoffspezies mit Elementen einschließlich, aber nicht darauf beschränkt, Phosphor, Arsen und Antimon implantiert werden. Das Tempern kann durchgeführt werden, um die gewünschte Tiefe des Drain-Bereichs 120 zu erzielen. Damit ist der erhaltene N+ Si-Drain-Bereich 120 gebildet. Bei beispielhaften Ausführungsformen kann, wie in 7 dargestellt, eine Nitrid-Hartmaske 705 als Teil der Zwischenstruktur 700 aufgebracht werden.
  • Bei Block 510 werden Standard-Maskierungs- und Lithographieverfahren eingesetzt, um die Zwischenstruktur 700 von 7 zu maskieren, um den Bereich zu strukturieren, um den vertikalen Heteroübergang 126 zu bilden und das Gate 145, die Spacer 155, die Hartmaske 160 und den Drain-Bereich 120 zu schützen. Bei beispielhaften Ausführungsformen wird auch die Hartmaske 705 strukturiert. Bei beispielhaften Ausführungsformen kann die Hartmaske 705 unter Einsatz von Standard-Maskierungs- und Lithographieverfahren und anisotropen Ätzverfahren, beispielsweise reaktivem Ionenätzen (RIE), strukturiert werden, um die Hartmaske 705 von der Seite der Zwischenstruktur 700, an der die Source hergestellt werden soll, zu entfernen. Wie hierin weiter beschrieben, schützt die Hartmaske den Drain-Bereich 120 vor nachfolgenden Wachstumsschritten. 8 stellt eine Zwischenstruktur 800 dar, bei der ein Bereich 805 für den vertikalen Heteroübergang 126 strukturiert und gebildet worden ist. Bei beispielhaften Ausführungsformen wird der Bereich 805 durch Ätzen durch die vergrabene Oxidschicht 110 und die Siliciumschicht 155 mit im Fachgebiet bekannten Herstellungsverfahren gebildet. Beispielsweise können die vergrabene Oxidschicht 110 und die Siliciumschicht 155 mittels eines Ätzverfahrens, das zum Ätzen der beiden Materialien geeignet ist, wie z. B. eine anisotrope Ätzung (beispielsweise RIE), geätzt werden.
  • Bei Block 515 wird eine Source-seitige (Heteroübergang-Bereich 805) Unterschnittätzung durchgeführt. Die bei Block 510 ausgeführte Maskierung kann beibehalten werden, um das Gate 145, die Spacer 155, die Hartmaske 160 und den Drain-Bereich 120 zu schützen. Alternativ dazu können zusätzliche Standard-Maskierungs- und Lithographie-Verfahren eingesetzt werden, um die Zwischenstruktur 800 von 8 zu maskieren, um den Bereich zu strukturieren, um die Unterschnittätzung zu bilden. 9 stellt eine Zwischenstruktur 900 dar, bei der ein Unterschnittbereich 905 für den Source-Bereich 125 strukturiert und gebildet worden ist. Bei beispielhaften Ausführungsformen wird der Bereich 905 durch Ätzen durch die vergrabene Oxidschicht 110 zwischen dem Siliciumsubstrat 105 und der Siliciumschicht 115 durch im Fachgebiet bekannte Herstellungsverfahren gebildet. Dabei ist ein Ätzmittel wünschenswert, das SiO2 ätzen kann, nicht aber Si. Beispielsweise kann die vergrabene Oxidschicht 110 mit einer gepufferten Oxidätzung (BHF) mit warmer Fluorwasserstoffsäure geätzt werden.
  • Bei Block 520 wird ein Bereich 805 für den vertikalen Heteroübergang 126 mit einem geeigneten Dotierstoff dotiert, um den gewünschten Tunnelweg 140 zu bilden. Da bei diesem Beispiel der Drain-Bereich n-Typ-dotiert ist, wird bei einem späteren Herstellungsschritt der Source-Bereich 125 vom p-Typ. Zur Bildung des Tunnelwegs 140 wird der Bereich 805 für den vertikalen Heteroübergang 126 gleich wie der Drain-Bereich 120 dotiert, in diesem Fall vom n-Typ. Die bei den Blöcken 510 und 515 eingesetzte Maskierung kann beibehalten werden, um das Gate 145, die Spacer 155, die Hartmaske 160 und den Drain-Bereich 120 vor einer Dotierung zu schützen. Es können zusätzliche Maskierungs- und Lithographieverfahren eingesetzt werden, um die vergrabene Oxidschicht 110 in dem Unterschnittbereich 905 vor einer Dotierung zu schützen. 10 stellt eine Zwischenstruktur 1000 dar, bei der der Bereich 805 für den vertikalen Heteroübergang 126 dotiert worden ist. Bei beispielhaften Ausführungsformen können die Halbleiterbereiche 130, 135 durch Dotieren der Halbleiterbereiche 130, 135 mithilfe im Fachgebiet bekannter Herstellungsverfahren gebildet werden. Beispielsweise können die Halbleiterbereiche 130, 135 mit einer n-Typ-Dotierstoffspezies mit einem Element, einschließlich, aber nicht darauf beschränkt, Phosphor, Arsen und Antimon gasphasendotiert werden. Das Gasphasendotieren kann durchgeführt werden, um die gewünschten Dotierniveaus der Halbleiterbereiche 130, 135 zu erzielen. Damit sind durch das erhaltene N+ Si die Halbleiterbereiche 130, 135 gebildet. Bei anderen beispielhaften Ausführungsformen können andere Dotierverfahren eingesetzt werden, wie z. B. Atomschichtabscheidung einer dotierstoffhaltigen Spezies oder epitaktisches Wachsen einer Dünnschicht von hochdotiertem Si und anschließendes Ausdiffundieren der Dotierstoffspezies.
  • Bei Block 525 wird der Source-Bereich 125 gebildet. Da bei dem Beispiel der Drain-Bereich 120 und die Halbleiterbereiche 130, 135 wie beschrieben n-Typ-dotiert sind, ist der Source-Bereich 125 vom p-Typ. Bei beispielhaften Ausführungsformen kann der Source-Bereich 125 durch Einsatz eines Epitaxieverfahrens auf der Basis von chemischer Gasphasenabscheidung (CVD) gebildet werden. Ferner kann das durch das Epitaxieverfahren auf CVD-Basis gewachsene Material, wie z. B. Silicium-Germanium (SiGe), in-situ mit einem p-Typ-Dotierstoff dotiert werden. Ferner kann das Wachstum dahin gehend selektiv sein, dass das Material nur auf Si wächst. Somit kann in-situ Bor-dotiertes (ISBD) SiGe als Source-Bereich 125 wachsen gelassen werden. Selbstverständlich kann jeder andere Typ von p-Typ-Dotierstoff eingesetzt werden, wie z. B. ein Element der Gruppe IIA, einschließlich, aber nicht darauf beschränkt, Aluminium, Gallium und Indium. Die bei den Blöcken 510 und 515 eingesetzte Maskierung kann beibehalten werden, um das Gate 145, die Spacer 155, die Hartmaske 160 und den Drain-Bereich 120 vor einer Abscheidung zu schützen. Wie hierin beschrieben, bleibt die Hartmaske 705 an ihrem Platz, um zu verhindern, dass SiGe auf dem Drain-Bereich 120 wächst. Bei beispielhaften Ausführungsformen und abhängig von der Orientierung des in der SOI-Basis verwendeten Ausgangs-Siliciumwafers ist es wünschenswert, den Source-Bereich mit der gleichen Orientierung wachsen zu lassen. Beispielsweise erfolgt bei (100)-Wafern das MBE-Wachstum an dem Source-Bereich 125 an einer bevorzugten (100)-Ebene. 11 stellt eine Zwischenstruktur 1100 dar, bei der ISBD-SiGe als Source-Bereich 125 wachsen gelassen wurde, um den in 1 beschriebenen P+ Source-Bereich 125 zu bilden. Die Hartmaske 705 kann anschließend entfernt werden. Im Fachgebiet bekannte Standard-CMOS-Herstellungsverfahren können eingesetzt werden, um die gewünschte Struktur fertigzustellen. Damit ist der in 1 beschriebene gewünschte vertikale Heteroübergang 126 mit einem Tunnelweg 140 parallel zu einem Gate-Feld gebildet.
  • Die technischen Wirkungen schließen einen Heteroübergang an der Source ein, der eine niedrige wirksame Bandlücke am Source-Injektionspunkt erlaubt, während eine hohe Bandlücke an der Drain-Seite aufrecht erhalten bleibt, wodurch parasitisches ambipolares Verhalten unterdrückt wird.
  • Die hierin verwendete Terminologie dient nur dem Zweck der Beschreibung bestimmter Ausführungsformen und soll die Erfindung nicht beschränken. Wie hierin verwendet, sollen die Singularformen „ein”, „eine/einer/eines” und „der/die/das” auch die Pluralformen einschließen, sofern es der Zusammenhang nicht eindeutig anders bestimmt. Ferner ist zu beachten, dass die Begriffe „aufweisen” und/oder „aufweisend” bei Verwendung in der vorliegenden Beschreibung das Vorhandensein angegebener Merkmale, ganzer Zahlen, Schritte, Vorgänge, Elemente und/oder Komponenten beschreiben, nicht aber das Vorhandensein oder Hinzufügen von einem oder mehreren anderen Merkmalen, ganzen Zahlen, Schritten, Vorgängen, Elementen, Komponenten und/oder Gruppen davon ausschließen.
  • Die entsprechenden Strukturen, Materialien, Vorgänge und Äquivalente aller Mittel oder Schritte plus Funktionselemente in den nachstehenden Ansprüchen sollen alle Strukturen, Materialien und Schritte zum Durchführen der Funktion in Kombination mit anderen beanspruchten Elementen, wie spezifisch beansprucht, beinhalten. Die Beschreibung der vorliegenden Erfindung wurde zum Zweck der Veranschaulichung und Beschreibung dargelegt, sie ist aber weder als erschöpfend noch als auf die Erfindung in der offenbarten Form beschränkt gedacht. Dem Fachmann werden zahlreiche Modifikationen und Variationen nahe liegen, ohne vom Umfang der Erfindung abzuweichen. Die Ausführungsform wurde ausgewählt und beschrieben, um die Grundlagen der Erfindung und die praktische Anwendung am Besten zu beschreiben und anderen Fachleuten das Verständnis der Erfindung für verschiedene Ausführungsformen mit zahlreichen Modifikationen, wie sie für die besondere betrachtete Verwendung geeignet sind, zu ermöglichen.
  • Die hierin gezeigten Flussdiagramme stellen nur ein Beispiel dar. Es kann zahlreiche Variationen dieses Diagramms oder der darin beschriebenen Schritte (oder Vorgänge) geben, ohne von der Erfindung abzuweichen. Beispielsweise können die Schritte in einer anderen Reihenfolge durchgeführt werden oder es können Schritte hinzugefügt, weggelassen oder modifiziert werden. Alle diese Variationen werden als Teil der beanspruchten Erfindung angesehen.

Claims (19)

  1. Verfahren zum Herstellen eines Heteroübergang-Tunnel-Feldeffekttransistors (100), wobei das Verfahren aufweist: Bilden eines Gate-Bereichs (145) auf einer Siliciumschicht (115) eines Silicium-auf-Isolator-Substrats (105, 110); Bilden eines Drain-Bereichs (120) auf der Siliciumschicht (115), benachbart zu dem Gate-Bereich (145); und Bilden eines Source-Bereichs (125) mit vertikalem Heteroübergang (126) benachbart zu dem Gate-Bereich (145), wobei der Source-Bereich (125) mit vertikalem Heteroübergang (126) einen Tunnelweg (140) parallel zu einem Gate-Feld, das mit dem Gate-Bereich (145) verbunden ist, erzeugt.
  2. Verfahren nach Anspruch 1, wobei das Bilden des Drain-Bereichs (120) das Implantieren des Drain-Bereichs (120) mit einem n-Typ-Dotierstoff aufweist.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Bilden des Source-Bereichs (125) mit vertikalem Heteroübergang (126) ein Ätzen durch die Siliciumschicht (115) und eine isolierende Schicht (110) des Silicium-auf-Isolator-Substrats aufweist.
  4. Verfahren nach Anspruch 3, ferner aufweisend ein Ätzen eines Unterschnitts (905) durch die isolierende Schicht (110) zwischen der Siliciumschicht (115) und einer Siliciumsubstratschicht (105) des Silicium-auf-Isolator-Substrats.
  5. Verfahren nach einem der vorstehenden Ansprüche, ferner aufweisend ein Dotieren der Siliciumschicht (115) und der Siliciumsubstratschicht (105) mit einem n-Typ-Dotierstoff.
  6. Verfahren nach einem der vorstehenden Ansprüche, ferner aufweisend ein Wachsen eines Source-Bereichs (125) zwischen der Siliciumschicht (115) und der Siliciumsubstratschicht (105).
  7. Verfahren nach Anspruch 6, wobei der Source-Bereich (125) vom n-Typ ist.
  8. Verfahren nach einem der vorstehenden Ansprüche, wobei der Source-Bereich (125) mit vertikalem Heteroübergang (126) eine Source-Bereich-Schicht aufweist, die zwischen einer ersten (130) und einer zweiten (135) Halbleiterschicht angeordnet ist.
  9. Verfahren nach Anspruch 8, wobei die Source-Bereich-Schicht vom p-Typ ist und die erste (130) und die zweite (135) Halbleiterschicht vom n-Typ sind.
  10. Verfahren nach einem der vorstehenden Ansprüche, wobei der Drain-Bereich (120) vom n-Typ ist.
  11. Verfahren nach Anspruch 9 oder 10, wobei der p-Typ-Source-Bereich in-situ Bor-dotiertes Silicium-Germanium ist.
  12. Feldeffekttransistor-Einheit (100), aufweisend: ein Silicium-auf-Isolator-Substrat (105, 110); einen auf dem Silicium-auf-Isolator-Substrat aufgebrachten Gate-Bereich (145); einen dem Gate-Bereich (145) benachbarten Drain-Bereich (120); und einen dem Gate-Bereich (145) benachbarten Source-Bereich (125) mit vertikalem Heteroübergang (126), wobei der Source-Bereich (125) mit vertikalem Heteroübergang (126) einen Tunnelweg (140) parallel zu einem Gate-Feld, das mit dem Gate-Bereich (145) verbunden ist, erzeugt.
  13. Feldeffekttransistor-Einheit (100) nach Anspruch 12, wobei der Source-Bereich (125) mit vertikalem Heteroübergang (126) einen dem Gate-Bereich (145) benachbarten Source-Bereich (125) aufweist.
  14. Feldeffekttransistor-Einheit (100) nach Anspruch 12 oder 13, wobei ein Teil des Source-Bereichs (125) unterhalb des Gate-Bereichs (145) angeordnet ist.
  15. Feldeffekttransistor-Einheit (100) nach Anspruch 12, 13 oder 14, ferner aufweisend eine erste (130) und eine zweite (135) Halbleiterschicht, die unterhalb des Gate-Bereichs (145) angeordnet sind.
  16. Feldeffekttransistor-Einheit (100) nach Anspruch 15, wobei der Teil des Source-Bereichs (125), der unterhalb des Gate-Bereichs (145) angeordnet ist, zwischen der ersten (130) und der zweiten (135) Halbleiterschicht angeordnet ist.
  17. Feldeffekttransistor-Einheit (100) nach Anspruch 15 oder 16, wobei der Source-Bereich (125) vom p-Typ ist und der Drain-Bereich (120) und die erste (130) und die zweite (135) Halbleiterschicht vom n-Typ sind.
  18. Feldeffekttransistor-Einheit (100) nach einem der Ansprüche 12 bis 17, wobei der Source-Bereich (125) in-situ Bor-dotiertes Silicium-Germanium ist.
  19. Feldeffekttransistor-Einheit (100) nach einem der Ansprüche 12 bis 18: wobei das Silicium-auf-Isolator-Substrat eine Siliciumsubstratschicht (105), eine vergrabene Oxidschicht (110), die auf der Siliciumsubstratschicht (105) angeordnet ist, und eine Siliciumschicht (115), die auf der vergrabenen (110) Oxidschicht angeordnet ist, aufweist, wobei ein Teil der Siliciumschicht (115) vom n-Typ ist und in einem Source-Bereich (125) mit vertikalem Heteroübergang (126) angeordnet ist; wobei der Gate-Bereich (145) auf der Oxidschicht (110) angeordnet ist und in Spacern (155) und einer Hartmaske (705) verkapselt ist; und wobei der Drain-Bereich (120) vom n-Typ ist und der Source-Bereich (125) vom p-Typ ist und teilweise unterhalb des Abschnitts der n-Typ-Siliciumschicht (115) angeordnet ist; und ferner aufweisend eine n-Typ-Siliciumschicht (135), die unterhalb des p-Typ-Source-Bereichs (125) angeordnet ist.
DE112011102011.2T 2010-06-15 2011-04-12 Verfahren zum Herstellen eines Tunnel-FET mit vertikalem Heteroübergang und Feldeffekttransistor-Einheit Active DE112011102011B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/815,902 2010-06-15
US12/815,902 US8258031B2 (en) 2010-06-15 2010-06-15 Fabrication of a vertical heterojunction tunnel-FET
PCT/EP2011/055689 WO2011157461A1 (en) 2010-06-15 2011-04-12 Fabrication of a vertical heterojunction tunnel-fet

Publications (2)

Publication Number Publication Date
DE112011102011T5 DE112011102011T5 (de) 2013-03-28
DE112011102011B4 true DE112011102011B4 (de) 2015-10-22

Family

ID=44041476

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112011102011.2T Active DE112011102011B4 (de) 2010-06-15 2011-04-12 Verfahren zum Herstellen eines Tunnel-FET mit vertikalem Heteroübergang und Feldeffekttransistor-Einheit

Country Status (5)

Country Link
US (2) US8258031B2 (de)
CN (1) CN102906879B (de)
DE (1) DE112011102011B4 (de)
GB (1) GB2503048B (de)
WO (1) WO2011157461A1 (de)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5058277B2 (ja) * 2010-02-26 2012-10-24 株式会社東芝 半導体装置及びその製造方法
US8309989B2 (en) * 2010-08-18 2012-11-13 Purdue Research Foundation Tunneling field-effect transistor with low leakage current
EP2439777B1 (de) * 2010-10-11 2013-08-14 Nxp B.V. Tunnelfeldeffekttransistor
US8890118B2 (en) * 2010-12-17 2014-11-18 Intel Corporation Tunnel field effect transistor
JP2013038336A (ja) * 2011-08-10 2013-02-21 Toshiba Corp 半導体装置
US10103226B2 (en) 2012-04-30 2018-10-16 International Business Machines Corporation Method of fabricating tunnel transistors with abrupt junctions
FR2993394B1 (fr) * 2012-07-11 2014-08-22 Commissariat Energie Atomique Transistor tunnel a fort courant par amplification bipolaire
KR101919425B1 (ko) * 2012-10-09 2018-11-19 삼성전자주식회사 그래핀 채널을 포함한 터널링 전계효과 트랜지스터
US8890120B2 (en) * 2012-11-16 2014-11-18 Intel Corporation Tunneling field effect transistors (TFETs) for CMOS approaches to fabricating N-type and P-type TFETs
JP6043193B2 (ja) 2013-01-28 2016-12-14 株式会社東芝 トンネルトランジスタ
US8975123B2 (en) 2013-07-09 2015-03-10 International Business Machines Corporation Tunnel field-effect transistors with a gate-swing broken-gap heterostructure
JP6331375B2 (ja) * 2013-12-17 2018-05-30 富士通株式会社 電界効果型半導体装置
US9786769B2 (en) 2013-12-26 2017-10-10 Intel Corporation Complementary tunneling FET devices and method for forming the same
US9419114B2 (en) 2014-01-17 2016-08-16 Imec Vzw Tunnel field-effect transistor
US10128356B2 (en) 2014-03-27 2018-11-13 Intel Corporation P-tunneling field effect transistor device with pocket
WO2015154305A1 (en) 2014-04-11 2015-10-15 Intel Corporation Object detection using directional filtering
EP2993696B1 (de) 2014-09-02 2020-08-05 IMEC vzw Heterosektionstunnel-Feldeffektransistor (TFET)
US9697443B2 (en) 2014-12-11 2017-07-04 Intel Corporation Model compression in binary coded image based object detection
DE102014018382B4 (de) 2014-12-15 2018-07-26 Forschungszentrum Jülich GmbH Tunnel-Feldeffekttransistor sowie Verfahren zu dessen Herstellung
US9728639B2 (en) * 2015-01-02 2017-08-08 Samsung Electronics Co., Ltd. Tunnel field effect transistors having low turn-on voltage
CN105990428B (zh) * 2015-02-17 2019-10-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
US10026830B2 (en) * 2015-04-29 2018-07-17 Stmicroelectronics, Inc. Tunneling field effect transistor (TFET) having a semiconductor fin structure
US10504721B2 (en) 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
US9735267B1 (en) 2016-01-28 2017-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US11393923B2 (en) * 2016-02-25 2022-07-19 Indian Institute Of Science Drain extended tunnel field effect transistor
US9673307B1 (en) * 2016-04-13 2017-06-06 International Business Machines Corporation Lateral bipolar junction transistor with abrupt junction and compound buried oxide
US10276716B2 (en) * 2016-05-27 2019-04-30 Taiwan Semiconductor Manufacturing Company Limited Transistor with asymmetric source and drain regions
US9947778B2 (en) 2016-07-15 2018-04-17 International Business Machines Corporation Lateral bipolar junction transistor with controlled junction
US10276663B2 (en) * 2016-07-18 2019-04-30 United Microelectronics Corp. Tunneling transistor and method of fabricating the same
CN106206703A (zh) * 2016-07-26 2016-12-07 电子科技大学 一种增加开态电流的隧穿场效应晶体管
DE102016010106A1 (de) * 2016-08-20 2018-02-22 Forschungszentrum Jülich GmbH Feldeffekttransistor zur Erzeugung von Tunnelströmen mit vertikalem Strompfad durch dünne Schichten
US9653464B1 (en) * 2016-09-14 2017-05-16 International Business Machines Corporation Asymmetric band gap junctions in narrow band gap MOSFET
CN106783967A (zh) * 2016-11-29 2017-05-31 东莞市广信知识产权服务有限公司 一种硅基遂穿场效应晶体管结构
CN108735807B (zh) * 2017-04-19 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
WO2019035842A1 (en) * 2017-08-18 2019-02-21 Intel Corporation TUNNEL FIELD EFFECT TRANSISTORS
CN107611170B (zh) * 2017-08-25 2021-01-29 电子科技大学 开态电流增强的纵向隧穿场效应晶体管
US10134859B1 (en) 2017-11-09 2018-11-20 International Business Machines Corporation Transistor with asymmetric spacers
US10147808B1 (en) 2017-12-04 2018-12-04 International Business Machines Corporation Techniques for forming vertical tunneling FETS
US10727323B2 (en) 2018-01-08 2020-07-28 International Business Machines Corporation Three-dimensional (3D) tunneling field-effect transistor (FET)
US10249755B1 (en) 2018-06-22 2019-04-02 International Business Machines Corporation Transistor with asymmetric source/drain overlap
US10236364B1 (en) 2018-06-22 2019-03-19 International Busines Machines Corporation Tunnel transistor

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070178650A1 (en) * 2006-02-01 2007-08-02 International Business Machines Corporation Heterojunction tunneling field effect transistors, and methods for fabricating the same
US20100059737A1 (en) * 2008-09-05 2010-03-11 Krishna Kumar Bhuwalka Tunnel Field-Effect Transistors with Superlattice Channels

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2569056B1 (fr) 1984-08-08 1989-03-10 Japan Res Dev Corp Transistor a induction statique du type a injection par effet tunnel et circuit integre comprenant un tel transistor
DE3477624D1 (en) 1984-12-18 1989-05-11 Ibm Low temperature tunneling transistor
US5834793A (en) 1985-12-27 1998-11-10 Kabushiki Kaisha Toshiba Semiconductor devices
JPH05190847A (ja) 1992-01-08 1993-07-30 Kawasaki Steel Corp Mos型半導体装置
JPH07122749A (ja) 1993-09-01 1995-05-12 Toshiba Corp 半導体装置及びその製造方法
JP3361922B2 (ja) * 1994-09-13 2003-01-07 株式会社東芝 半導体装置
US6424005B1 (en) * 1998-12-03 2002-07-23 Texas Instruments Incorporated LDMOS power device with oversized dwell
US6198113B1 (en) 1999-04-22 2001-03-06 Acorn Technologies, Inc. Electrostatically operated tunneling transistor
US20030052365A1 (en) 2001-09-18 2003-03-20 Samir Chaudhry Structure and fabrication method for capacitors integratible with vertical replacement gate transistors
KR100506055B1 (ko) 2001-12-31 2005-08-05 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그의 제조 방법
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6617643B1 (en) 2002-06-28 2003-09-09 Mcnc Low power tunneling metal-oxide-semiconductor (MOS) device
TWI294670B (en) 2003-06-17 2008-03-11 Ibm Ultra scalable high speed heterojunction vertical n-channel misfets and methods thereof
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7465976B2 (en) 2005-05-13 2008-12-16 Intel Corporation Tunneling field effect transistor using angled implants for forming asymmetric source/drain regions
US8148718B2 (en) 2007-05-31 2012-04-03 The Regents Of The University Of California Low voltage transistors
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US8178400B2 (en) 2009-09-28 2012-05-15 International Business Machines Corporation Replacement spacer for tunnel FETs

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070178650A1 (en) * 2006-02-01 2007-08-02 International Business Machines Corporation Heterojunction tunneling field effect transistors, and methods for fabricating the same
US20100059737A1 (en) * 2008-09-05 2010-03-11 Krishna Kumar Bhuwalka Tunnel Field-Effect Transistors with Superlattice Channels

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Krishnamohan, Tejas et al.: Double-Gate Strained-Ge Heterostructure Tunneling FET (TFET) With Record High Drive Currents and <60mV/dec Subthreshold Slope. In: IEEE International Electron Devices Meeting, 2008, IEDM 2008, 2008, 1-3. *

Also Published As

Publication number Publication date
US8796735B2 (en) 2014-08-05
US20120193678A1 (en) 2012-08-02
GB201212740D0 (en) 2012-08-29
CN102906879B (zh) 2015-12-09
US20110303950A1 (en) 2011-12-15
DE112011102011T5 (de) 2013-03-28
GB2503048B (en) 2014-04-09
US8258031B2 (en) 2012-09-04
WO2011157461A1 (en) 2011-12-22
CN102906879A (zh) 2013-01-30
GB2503048A (en) 2013-12-18

Similar Documents

Publication Publication Date Title
DE112011102011B4 (de) Verfahren zum Herstellen eines Tunnel-FET mit vertikalem Heteroübergang und Feldeffekttransistor-Einheit
DE112012000510B4 (de) Verfahren zum Herstellen eines FET mit verspanntem Kanal mit Source/Drain-Puffern und FET
DE112011100326B4 (de) P-FET mit einem verspannten Nanodraht-Kanal und eingebetteten SiGe-Source- und Drain-Stressoren und Verfahren
DE102013103099B4 (de) Feldeffekt-Halbleitervorrichtung mit verringerten Spannungen und Verfahren zu ihrer Herstellung
DE102013101162B4 (de) Tunnel-Feldeffekttransistor, Halbleitervorrichtung und Verfahren
DE112007002306B4 (de) Verspannter Feldeffekttransistor und Verfahren zu dessen Herstellung
DE102015110636A1 (de) ET-Strukturen und Bildungsverfahren
DE102014107325A1 (de) Halbleiterbauelement
DE112012001158T5 (de) Mosfet mit ausgesparter Kanaldünnschicht und abrupten Übergängen
EP1597770A1 (de) Bipolartransistor mit verbessertem basis-emitter- bergang und verfahren zur herstellung
DE102014204114A1 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt
DE112007000662T5 (de) Epitaktische Silizium-Germanium für einen reduzierten Übergangswiderstand bei Feldeffekt-Transistoren
DE102012221824A1 (de) Eingebettete stressoren für multi-gate-transistoreinheiten
DE102013100857A1 (de) Finnenstruktur für ein FinFET-Bauteil
DE102011119497B4 (de) Band zu Band Tunnel-Feldeffekttransistor mit gradierter Halbleiterheterostruktur im Tunnelübergang und Verfahren zu dessen Herstellung
DE102009046246A1 (de) Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage global verformter Halbleiterbasisschichten
DE102009031314B4 (de) Halbleiterbauelement aus Silizium mit bereichsweise vermindertem Bandabstand und Verfahren zur Herstellung desselben
DE102015204411B4 (de) Transistor und Verfahren zur Herstellung eines Transistors
DE112008000264T5 (de) Elektronisches Bauelement und Verfahren zum Herstellen desselben
DE102016209024A1 (de) Halbleiterbauelemente, einschließlich Halbleiterstrukturen und Verfahren zur Herstellung derselben
DE10229003B4 (de) Ein Verfahren zur Herstellung eines SOI-Feldeffekttransistorelements mit einem Rekombinationsgebiet
DE112014000565T5 (de) Verfahren zur Herstellung eines Halbleiterbauelements
EP1497855B1 (de) Verfahren zur herstellung einer oder mehrerer einkristalliner schichten mit jeweils unterschiedlicher gitterstruktur in einer ebene einer schichtenfolge
DE112022000493T5 (de) Nanosheet-metall-oxid-halbleiter-feldeffekttransistor mit asymmetrischer schwellenspannung
DE102016207536A1 (de) Verfahren zum Herstellen eines Bipolartransistors

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029739000

Ipc: H01L0029660000

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029739000

Ipc: H01L0029660000

Effective date: 20140218

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES , US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE