DE102022100498A1 - Vertikale interconnect-strukturen in dreidimensional integrierten schaltkreise - Google Patents

Vertikale interconnect-strukturen in dreidimensional integrierten schaltkreise Download PDF

Info

Publication number
DE102022100498A1
DE102022100498A1 DE102022100498.8A DE102022100498A DE102022100498A1 DE 102022100498 A1 DE102022100498 A1 DE 102022100498A1 DE 102022100498 A DE102022100498 A DE 102022100498A DE 102022100498 A1 DE102022100498 A1 DE 102022100498A1
Authority
DE
Germany
Prior art keywords
vis
die layer
die
devices
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022100498.8A
Other languages
English (en)
Inventor
Tzu-Hsien YANG
Hiroki Noguchi
Hidehiro Fujiwara
Yih Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022100498A1 publication Critical patent/DE102022100498A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Eine 3D-IC-Struktur umfasst mehrere Die-Schichten, wie beispielsweise eine obere Die-Schicht und eine untere Die-Schicht. Die obere Die-Schicht und/oder die untere Die-Schicht umfassen jeweils Vorrichtungen wie Recheneinheiten, Analog-Digital-Umsetzer, analoge Schaltungen, HF-Schaltungen, Logikschaltungen, Sensoren, Eingabe-/Ausgabevorrichtungen und/oder Speichervorrichtungen. Die Vorrichtungen auf der ersten und der zweiten Die-Schicht sind seitlich umgeben von vertikalen Interconnect-Strukturen (VIS) oder sind angrenzend an diese.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Die vorliegende Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/168,462 mit dem Titel „Through-Silicon Vias In Three-Dimensional Integrated Circuits“ und eingereicht am 31. März 2021, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Dreidimensional (3D) gestapelte Chips oder integrierte Schaltkreise (IC) sind eine Integrationstechnologie, die in modernen Computer- und elektronischen Systemen verwendet werden kann. Silizium-Durchkontaktierungen (TSVs) werden verwendet, um zwei oder mehr gestapelte Dies elektrisch zu verbinden. Beispielsweise kann eine TSV eine heterogene Integration eines Rechen-Dies und eines Speicher-Dies ermöglichen, um den Platzbedarf der gestapelten Dies zu reduzieren.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 veranschaulicht ein 3D-IC-Package gemäß einigen Ausführungsformen;
    • 2 veranschaulicht eine erste beispielhafte 3D-IC-Struktur gemäß einigen Ausführungsformen;
    • 3 zeigt Verbindungen zwischen vertikalen Interconnect-Strukturen auf verschiedenen Die-Schichten in dem 3D-IC gemäß einigen Ausführungsformen;
    • 4 veranschaulicht ein erstes Beispiel eines Layouts von vertikalen Interconnect-Strukturzellen gemäß einigen Ausführungsformen;
    • 5 veranschaulicht ein zweites Beispiel eines Layouts von vertikalen Interconnect-Strukturzellen gemäß einigen Ausführungsformen;
    • 6 veranschaulicht ein Blockdiagramm eines ersten Beispiels einer Die-Schicht gemäß einigen Ausführungsformen;
    • 7 veranschaulicht ein Blockdiagramm eines zweiten Beispiels einer Die-Schicht gemäß einigen Ausführungsformen;
    • 8 veranschaulicht ein zweites Beispiel einer 3D-IC-Struktur gemäß einigen Ausführungsformen;
    • 9 veranschaulicht ein drittes Beispiel einer Die-Schicht gemäß einigen Ausführungsformen;
    • 10 veranschaulicht ein viertes Beispiel einer Die-Schicht gemäß einigen Ausführungsformen;
    • 11 veranschaulicht ein fünftes Beispiel einer Die-Schicht gemäß einigen Ausführungsformen;
    • 12 veranschaulicht ein Flussdiagramm eines beispielhaften Verfahrens zum Herstellen einer IC-Schicht gemäß einigen Ausführungsformen;
    • 13 veranschaulicht ein Flussdiagramm eines beispielhaften Verfahrens zum Herstellen einer 3D-IC-Struktur gemäß einigen Ausführungsformen;
    • 14 veranschaulicht ein beispielhaftes System, das zum Entwerfen einer 3D-IC-Struktur gemäß einigen Ausführungsformen geeignet ist; und
    • 15 veranschaulicht ein Blockdiagramm eines beispielhaften Herstellungssystems für integrierte Schaltungen und eines Herstellungsablaufs gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Implementieren unterschiedlicher Merkmale des bereitgestellten Gegenstands bereit. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich nur Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, bei denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden, so dass die ersten und zweiten Merkmale möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugszeichen und/oder Bezugsbuchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt selbst keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können hier zur einfacheren Beschreibung räumlich relative Begriffe wie „unterhalb“, „darunter“, „unter“, „oberhalb“, „oben“ und dergleichen verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den Figuren dargestellt, zu beschreiben. Die räumlich relativen Begriffe sollen neben der in den Figuren dargestellten Ausrichtung auch unterschiedliche Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hier verwendeten räumlich relativen Deskriptoren können ebenfalls entsprechend interpretiert werden.
  • Hierin offenbarte Ausführungsformen stellen 3D-IC-Strukturen bereit, die mehrere Die-Schichten umfassen, wie beispielsweise eine obere Die-Schicht und eine untere Die-Schicht. Die obere Die-Schicht und/oder die untere Die-Schicht umfasst Vorrichtungen wie Recheneinheiten, Analog-Digital-Wandler (ADCs), analoge Schaltungen, HF-Schaltungen, Logikschaltungen, Sensoren, Eingabe/Ausgabe-(I/O)-Vorrichtungen und /oder Speichervorrichtungen. Beispielhafte Speichervorrichtungen umfassen einen statischen Direktzugriffsspeicher (SRAM), einen resistiven Direktzugriffsspeicher (RRAM), einen dynamischen Direktzugriffsspeicher (DRAM), einen Cache und/oder einen Flash-Speicher. Beispielhafte Recheneinheiten sind Mikroprozessoren, feldprogrammierbare Gate-Arrays, Grafikprozessoren und dergleichen.
  • Vertikale Interconnect-Strukturen (VIS) werden in den Die-Schichten einer 3D-IC-Struktur gebildet. Die VIS können jede geeignete Art von vertikalen Interconnect-Strukturen sein, wie beispielsweise Durchkontaktierungen durch Silizium (TSVs), Durchkontaktierungen durch Glas (TGVs) und Durchkontaktierungen durch Dielektrikum (TDVs). Wie später ausführlicher beschrieben wird, unterscheidet sich in einer Ausführungsform der Grundriss der Vorrichtungen und der VIS in wenigstens einer Die-Schicht in einem 3D-IC von dem Grundriss der Vorrichtungen und der VIS in einer anderen Die-Schicht in dem 3D-IC.
  • Die VIS können verwendet werden, um Leistungssignale, Datensignale und Vorspannungssignale (z. B. analoge Vorspannungssignale) zu übertragen. Die VIS in jeder Die-Schicht sind in einem Raster-Layout auf der Die-Schicht angeordnet. Die VIS in einer Die-Schicht können in einem Gitter-Layout um die Vorrichtung oder die Vorrichtungen auf der Die-Schicht herum angeordnet sein. Die Gitter-Layouts der VIS können den Herstellungsprozess verbessern, der verwendet wird, um die Die-Schicht herzustellen, was wiederum die Ausbeute der VIS erhöhen kann. Zusätzlich oder alternativ, wenn die Dichte der VIS auf einer Die-Schicht gleichförmig ist, verringert die gleichförmige Dichte den Widerstand zwischen den VIS und den Vorrichtungen und der IR-Abfall in den Leistungssignalen kann verringert werden.
  • 1 veranschaulicht ein 3D-IC-Package gemäß einigen Ausführungsformen. Das 3D-IC-Package 100 umfasst ein Substrat 102. Das Substrat 102 kann eine beliebige geeignete Art von Substrat sein, wie beispielsweise ein Substrat auf Siliziumbasis oder eine Leiterplatte. Elektrische Verbinder 104 sind zwischen dem Substrat 102 und einem Interposer 106 angeordnet. In der veranschaulichten Ausführungsform sind die elektrischen Verbinder 104 Lötperlen, aber andere Ausführungsformen sind nicht auf diese Implementierung beschränkt. Die elektrischen Verbinder 104 können irgendein geeigneter elektrischer Verbinder sein, wie etwa Drahtbonds oder ein Ball Grid Array.
  • Die elektrischen Verbinder 104 übertragen Leistungssignale, Datensignale und/oder Vorspannungssignale zwischen dem Substrat 102 und dem Interposer 106. Der Interposer 106 ist konfiguriert, um die Signale zwischen den elektrischen Verbindern 104 und dem 3D-IC 108 zu leiten. Der IC 108 weist zwei oder mehr Die-Schichten, die vertikal gestapelt sind, und ein oder mehrere VIS in jeder Die-Schicht auf, um die Die-Schichten elektrisch miteinander zu verbinden. In einigen Ausführungsformen ist der 3D-IC 108 ein heterogener 3D-IC, bei dem sich die Typen von Vorrichtungen auf einer Die-Schicht von den Typen von Vorrichtungen auf einer anderen Die-Schicht unterscheiden. Zum Beispiel können die Typen von Vorrichtungen auf einer unteren Die-Schicht Speichervorrichtungen sein und die Typen von Vorrichtungen auf einer oberen Die-Schicht können Recheneinheiten sein. In anderen Ausführungsformen ist der 3D-IC 108 ein homogener 3D-IC, bei dem die gleiche Art von Vorrichtungen in und/oder auf den Die-Schichten ausgebildet ist.
  • Wie noch detaillierter beschrieben wird, sind die VIS in einer Die-Schicht in dem 3D-IC 108 in einem Layout angeordnet, das sich von der Anordnung der VIS in einer anderen Die-Schicht unterscheiden kann. Die verschiedenen Layouts können variieren, um verbesserte oder optimale Flächennutzung und/oder Routing-Anforderungen zu erfüllen. Beispielsweise kann eine Electronic Design Application (EDA) verwendet werden, um das beste oder optimale Layout für die VIS in einer Die-Schicht basierend auf den Leistungsanforderungen der Vorrichtungen auf dieser Die-Schicht sowie den Leistungsanforderungen der Vorrichtungen auf beliebigen Die-Schichten zu bestimmen, die über der Die-Schicht angeordnet sind.
  • Zusätzlich oder alternativ sind der Durchmesser, die Teilung und die Dichte der VIS auf einer Die-Schicht gleich, aber der Durchmesser, die Teilung und/oder die Dichte der VIS können sich auf einer anderen Die-Schicht unterscheiden. Das VIS-Layout, der Durchmesser, die Teilung und die Dichte des VIS in jeder Die-Schicht basieren beispielsweise auf den Leistungsanforderungen der Vorrichtungen auf einer Die-Schicht, den Leistungsanforderungen der Vorrichtungen auf (einer) oberen Die-Schicht(en) und/oder dem IR-Abfall, der von den Leistungssignalen, Datensignalen und/oder analogen Vorspannungssignalen an der/den oberen Die-Schicht(en) erfahren wird. Wenn beispielsweise ein 3D-IC mit zwei Die-Schichten gebildet wird, basiert das Layout der VIS in der unteren Die-Schicht auf den Leistungsanforderungen der Vorrichtungen auf der unteren Die-Schicht, den Leistungsanforderungen der Vorrichtungen auf der oberen Die-Schicht und/oder dem IR-Abfall, der von den Leistungssignalen, Datensignalen und/oder analogen Vorspannungssignalen an der oberen Die-Schicht erfahren wird. Das Layout der VIS in der oberen Die-Schicht basiert auf den Leistungsanforderungen der Vorrichtungen auf der oberen Die-Schicht. Wenn die Leistungssignale in den 3D-IC an der unteren Die-Schicht eingegeben werden, ist die Dichte der VIS in der unteren Die-Schicht typischerweise größer als die Dichte der VIS in der oberen Die-Schicht, da die VIS in der unteren Die-Schicht eine ausreichende oder minimale Energiemenge an die Vorrichtungen auf der oberen Die-Schicht bereitstellen müssen, um die Integrität der Operationen der Vorrichtungen auf der oberen Die-Schicht aufrechtzuerhalten.
  • 2 zeigt eine beispielhafte 3D-IC-Struktur gemäß einigen Ausführungsformen. Die 3D-IC-Struktur 200 umfasst mehrere Die-Schichten. Zu Veranschaulichungszwecken weist 2 ausgewählte Abschnitte einer 3D-IC-Struktur auf. Andere nicht gezeigte Abschnitte können in einer 3D-IC-Struktur umfasst sein. Beispielsweise können Mikrohöcker, Formungsbereiche, Dummy-Bereiche, Adhäsionsschichten, ein Kühlkörper, Verbindungen, Ball Grid Array (BGA)-Verbinder, Silizium-Interposer und andere Komponenten oder Strukturelemente umfasst sein.
  • In der veranschaulichten Ausführungsform umfasst die 3D-IC-Struktur 200 eine Die-Schicht 200A und eine Die-Schicht 200B, die über der Die-Schicht 200A angeordnet ist. Andere Ausführungsformen einer 3D-IC-Struktur können zusätzliche Die-Schichten (z. B. 3, 5 oder 8) umfassen. In einigen Ausführungsformen können die peripheren Strukturen 202 eine mechanische Unterstützung bereitstellen und/oder Wärmeleitung zur Wärmeableitung bereitstellen.
  • Die Die-Schicht 200A umfasst Vorrichtungen 204. Beispielhafte Vorrichtungen 204 umfassen, ohne darauf beschränkt zu sein, Speichervorrichtungen und I/O-Vorrichtungen. Die Die-Schicht 200B umfasst Vorrichtungen 206. Die Vorrichtungen 206 auf der Die-Schicht 200B können die gleiche Art von Vorrichtungen oder eine Vielzahl unterschiedlicher Vorrichtungen sein. In einer nicht einschränkenden Ausführungsform sind die Vorrichtungen 206 Recheneinheiten. In anderen Ausführungsformen, wenn die 3D-IC-Struktur eine heterogene 3D-IC-Struktur ist, kann die Die-Schicht 200A eine oder mehrere Recheneinheiten umfassen und die Die-Schicht 200B kann HF- und analoge Schaltungen umfassen. In einer anderen beispielhaften Ausführungsform eines heterogenen 3D-IC kann die Die-Schicht 200A Logikschaltungen und Speichervorrichtungen umfassen und die Die-Schicht 200B kann Sensoren, Eingabe/Ausgabe-Vorrichtungen (I/O-Vorrichtungen) und eine oder mehrere Recheneinheiten umfassen.
  • Die Die-Schicht 200B ist elektrisch mit der Die-Schicht 200A durch die VIS 208 auf der Die-Schicht 200A und die VIS 210 auf der Die-Schicht 200B verbunden. Eine oder mehrere Vorrichtungen 204 sind elektrisch mit einer oder mehreren entsprechenden Vorrichtungen 206 durch VIS 208, 210 verbunden. Wie zuvor erörtert, umfassen die VIS 208, 210 TSVs, TDVs oder andere Arten von vertikalen Interconnect-Strukturen. In der veranschaulichten Ausführungsform sind die VIS 208, 210 TSVs. Die TSVs können Leistungs-TSVs, Datensignal-TSVs und Vorspannungs-TSVs sein. Zum Beispiel können die VIS 208a, die um den Umfang der unteren Die-Schicht 200A positioniert sind, Datensignal-TSVs sein und die VIS 208b, die zwischen den Vorrichtungen 204 angeordnet sind, können Leistungs-TSVs sein.
  • Die VIS 208 in der Die-Schicht 200A sind in einem ersten Layout angeordnet, während die VIS 210 auf der Die-Schicht 200B in einem anderen zweiten Layout angeordnet sind. Wie zuvor beschrieben, basiert das Layout der VIS 208 auf der Die-Schicht 200A wenigstens auf Faktoren wie den Leistungsanforderungen der Vorrichtungen 204 auf der Die-Schicht 200A, den Leistungsanforderungen der Vorrichtungen 206 auf der Die-Schicht 200B und/oder dem IR-Abfall, der von den Leistungssignalen, Datensignalen und/oder analogen Vorspannungssignalen erfahren wird. Das Layout der VIS 210 auf der Die-Schicht 200B basiert wenigstens auf den Leistungsanforderungen der Vorrichtungen 206 auf der Die-Schicht 200B. In einigen Fällen können die Layouts der VIS 208, 210 auch auf einem verbesserten bzw. optimierten Verbrauch der Die-Fläche auf den Die-Schichten 200A, 200B basieren.
  • In der veranschaulichten Ausführungsform ist eine Stromversorgung 212 elektrisch mit der 3D-IC-Struktur 200 durch elektrische Verbinder verbunden, wie beispielsweise die in 1 gezeigten elektrischen Verbinder 104. Die Stromversorgung 212 versorgt die Vorrichtungen 204, 206 auf den Die-Schichten 200A, 200B mit Strom. Die Leistung wird den Die-Schichten 200A, 200B durch wenigstens einige der VIS 208, 210 zugeführt.
  • Wie in 2 gezeigt, unterscheidet sich der Lageplan der Vorrichtungen 204 und der VIS 208 auf der Die-Schicht 200A von dem Lageplan der Vorrichtungen 206 und der VIS 210 auf der Die-Schicht 200B. Die Anzahl von Vorrichtungen 204, die Anzahl von VIS 208 und das Layout der VIS 208 in der Die-Schicht 200A unterscheidet sich von der Anzahl von Vorrichtungen 206, der Anzahl von VIS 210 und dem Layout der VIS 210 in der Die-Schicht 200B. Dementsprechend können das Design und die Herstellung jeder Die-Schicht 200A, 200B basierend auf den Anforderungen der jeweiligen Dier-Schichten 200A, 200B optimiert oder ausgewählt werden. Wenn die Vorrichtung 206 beispielsweise eine Verarbeitungseinheit ist, kann aufgrund der Hochgeschwindigkeitsanforderungen für die Verarbeitungseinheit ein teurerer Herstellungsprozess verwendet werden, um die Die-Schicht 200B herzustellen. Wenn die Vorrichtungen 204 auf der Die-Schicht 200A alternativ Speichervorrichtungen, analoge Vorrichtungen und/oder logische Vorrichtungen umfassen, kann aufgrund der langsameren Geschwindigkeitsanforderungen dieser Typen von Vorrichtungen ein weniger kostspieliger Herstellungsprozess verwendet werden, um die Die-Schicht 200A herzustellen.
  • 3 veranschaulicht Verbindungen zwischen VIS in verschiedenen Die-Schichten in einem 3D-IC gemäß einigen Ausführungsformen. Der 3D-IC 300 umfasst eine Die-Schicht 302, die unter einer Die-Schicht 304 positioniert ist. Die VIS 306a - 306e auf der Die-Schicht 302 sind in einem ersten Layout 308 angeordnet. Die VIS 310a - 310c auf der Die-Schicht 304 sind in einem zweiten Layout 312 angeordnet. Wie zuvor erörtert, können sich das erste und das zweite Layout 308, 312 basierend auf einem verbesserten Die-Flächenverbrauch und/oder den Leistungsanforderungen der Die-Schichten 302,304 unterscheiden.
  • Zum Beispiel ist der Abstand oder die Teilung 314 zwischen den VIS 306a - 306e (die „Teilung“ der VIS 306a - 306e) typischerweise der gleiche für die Die-Schicht 302 wie die Teilung 316 für die VIS 310a - 310c in die Die-Schicht 304. Die Teilung 314 unterscheidet sich jedoch von der Teilung 316 in der veranschaulichten Ausführungsform. Die Teilung für die VIS in einer bestimmten Die-Schicht kann auf mehreren Faktoren basieren. Zum Beispiel wird die Teilung 314, 316 durch eine oder mehrere Designregeln für einen 3D-IC definiert. Außerdem ist in einigen Ausführungsformen der minimale Abstand der Teilung 314, 316 durch den Herstellungsprozess begrenzt, der verwendet wird, um die jeweilige Die-Schicht 302, 304 herzustellen. Jede Die-Schicht in einem 3D-IC kann unter Verwendung eines bestimmten Herstellungsprozesses für diese Die-Schicht hergestellt werden. Wenn beispielsweise eine Verarbeitungseinheit auf einer Die-Schicht gebildet wird, kann aufgrund der Hochgeschwindigkeitsanforderungen an die Verarbeitungseinheit ein teurerer Herstellungsprozess verwendet werden, um die Die-Schicht herzustellen. Wenn eine Die-Schicht alternativ Speichervorrichtungen, analoge Vorrichtungen und/oder logische Vorrichtungen aufweist, kann aufgrund der langsameren Geschwindigkeitsanforderungen dieser Typen von Vorrichtungen ein weniger kostspieliger Herstellungsprozess verwendet werden, um die Die-Schicht herzustellen.
  • Zusätzlich oder alternativ basieren die Dichte der VIS 306a - 306e und die Dichte der VIS 310a - 310c auf einem oder mehreren Faktoren. Die Art der Vorrichtungen auf einer Die-Schicht (z. B. die Vorrichtungen 204 auf der Die-Schicht 200A), die Leistungsanforderungen der Vorrichtungen auf der Die-Schicht (z. B. die Vorrichtungen 204 auf der Die-Schicht 200A), die Leistungsanforderungen der Vorrichtungen auf allen Die-Schichten, die oberhalb und über der Die-Schicht positioniert sind (z. B. die Vorrichtungen 206 auf der Die-Schicht 200B), und/oder der IR-Abfall, den die Signale an irgendwelchen Die-Schichten erfahren, die oberhalb und über der Die-Schicht positioniert sind, sind Faktoren, die beim Bestimmen der Dichte der VIS in einer Die-Schicht berücksichtigt werden. Außerdem kann die Dichte der VIS basierend auf den Faktoren über eine Die-Schicht hinweg variieren. Beispielsweise kann sich die Dichte der VIS in einem Teil einer Die-Schicht von der Dichte der VIS in einem anderen Teil der Die-Schicht unterscheiden, basierend auf der Art der Vorrichtungen in jedem Abschnitt der Die-Schicht, den Leistungsanforderungen der Vorrichtungen in jedem Abschnitt auf der Die-Schicht, den Leistungsanforderungen der Vorrichtungen auf irgendwelchen Die-Schichten, die oberhalb und über der Die-Schicht positioniert sind, und/oder dem IR-Abfall, den die Signale an irgendwelchen Die-Schichten erfahren, die oberhalb und über der Die-Schicht positioniert sind.
  • Zwischen den Die-Schichten 302,304 ist eine leitfähige Zwischenschicht 318 (z. B. eine Umverteilungsschicht) positioniert. Die elektrischen Verbindungen zwischen den Die-Schichten 302, 304 werden durch die leitende Zwischenschicht 318 implementiert. Die leitende Zwischenschicht 318 wird strukturiert, um einen oder mehrere Kontakte (gemeinsam Kontakt 320) und eine oder mehrere Signalleitungen (gemeinsam Signalleitung 322) zu erzeugen. Der Kontakt 320 wird verwendet, um eine VIS in der Die-Schicht 302 (z. B. VIS 306a) mit einer VIS in der Die-Schicht 304 (z. B. VIS 310a) elektrisch zu verbinden. Die elektrische Verbindung zwischen der VIS 306a und der VIS 310a wird durch die gestrichelte Linie 324 dargestellt.
  • Da sich das zweite Layout 312 der VIS 310a - 310c in der Die-Schicht 304 von dem ersten Layout 308 der VIS 306a - 306e in der Die-Schicht 302 unterscheidet, wird die Signalleitung 322 verwendet, um ein Signal von einer VIS in einer Die-Schicht zu einem entsprechenden VIS in einer anderen Die-Schicht zu leiten. Wie beispielsweise in 3 gezeigt, verbindet die Signalleitung 322 die VIS 306b auf der Die-Schicht 302 elektrisch mit der VIS 310b auf der Die-Schicht 304. Die elektrische Verbindung zwischen der VIS 306b und der VIS 310b ist durch die gestrichelte Linien 326 dargestellt.
  • Obwohl nur ein Kontakt 320 und nur eine Signalleitung 322 in 3 gezeigt sind, können andere Ausführungsformen jede geeignete Anzahl von Kontakten und Signalleitungen in der leitenden Zwischenschicht 318 umfassen. Zusätzlich, obwohl nicht in 3 gezeigt, ist ein Isoliermaterial um den Kontakt 320 und die Signalleitung 322 herum angeordnet, um den Kontakt 320 und die Signalleitung 322 elektrisch voneinander und von anderen Kontakten und/oder Signalleitungen in der leitenden Zwischenschicht 318 zu isolieren.
  • 4 veranschaulicht ein erstes Beispiel eines Layouts von VIS-Zellen gemäß einigen Ausführungsformen. Die Reihen 400, 402, 404, 406 von VIS-Zellen 408 sind angrenzend an die Kanten 410, 412, 416 bzw. 414 einer Vorrichtung 418 angeordnet. Eine VIS-Zelle 408 umfasst eine VIS 420 und einen ungenutzten Die-Bereich 422. Der ungenutzte Die-Bereich 422 kann auf einer oder mehreren Designregeln und/oder den Anforderungen des Herstellungsprozesses, der verwendet wird, um die Die-Schicht herzustellen, basieren.
  • Die VIS-Zellen 408 bilden ein Gitter um die Vorrichtung 418 herum. Wenn die Vorrichtung 418 eine von mehreren Vorrichtungen auf einer Die-Schicht ist, können die VIS-Zellen 408, die einer anderen Vorrichtung benachbart sind, Teil des Gitters von VIS-Zellen um die andere Vorrichtung sein (siehe z. B. 6 und 7). Die Vorrichtung 418 kann eine Vorrichtung auf einer unteren Die-Schicht (z. B. Die-Schicht 200A in 2), eine Vorrichtung auf einer oberen Die-Schicht (z. B. Die-Schicht 200B in 2) oder eine Vorrichtung in einer Zwischen-Die-Schicht (zwischen einer unteren Die-Schicht und einer oberen Die-Schicht) in einer 3D-IC-Struktur sein. Die VIS 420 werden in den VIS-Zellen 408 gebildet. Obwohl die Vorrichtung 418, die VIS-Zellen 408 und die VIS 420 als rechteckig dargestellt sind, sind andere Ausführungsformen nicht für diese Implementierung beschränkt.
  • In der veranschaulichten Ausführungsform umgeben die VIS-Zellen 408 die Vorrichtung 418, um ein Gitter zu bilden, und die Reihen 400, 402, 404, 406 grenzen an die Kanten 410, 412, 416, 414 der Vorrichtung 418 an. Die Teilung der VIS 420 ist aufgrund einer oder mehrerer Designregeln und/oder den Anforderungen eines Herstellungsprozesses, der verwendet wird, um die Die-Schicht herzustellen, typischerweise für die VIS in einer jeweiligen Die-Schicht gleich. In anderen Ausführungsformen kann die Anzahl der Reihen 400, 402, 404, 406 der VIS-Zellen 408 kleiner oder größer sein.
  • 5 zeigt ein zweites Beispiel eines Layouts von VIS-Zellen gemäß einigen Ausführungsformen. Gleich 4 sind die Reihen 400, 402, 404, 406 der VIS-Zellen 408 benachbart zu jeder Kante 410, 412, 416 bzw. 414 einer Vorrichtung 418 angeordnet. Die VIS-Zellen 408 in den Reihen 400, 402, 404, 406 bilden ein Gitter um die Vorrichtung 418.
  • Eine zweite Reihe 500 von VIS-Zellen 408 ist benachbart und grenzt an die Reihe 400 an und eine zweite Reihe 502 von VIS-Zellen 408 ist benachbart und grenzt an die Reihe 402 an. Die Anzahl der VIS-Zellen 408 in jeder Reihe 400, 402, 404, 406, 500, 502 kann dieselbe Anzahl sein oder die Anzahl von VIS-Zellen 408 in einer Reihe kann sich von der Anzahl von VIS-Zellen 408 in einer anderen Reihe unterscheiden. Wie bereits erwähnt, ist die Teilung der VIS 420 für die VIS 420 auf der Die-Schicht gleich.
  • In den Ausführungsformen kann die Anzahl der Reihen 400, 402, 404, 406, 500, 502, die an die Vorrichtung 418 angrenzen, kleiner oder größer sein. Wie in 5 gezeigt, beträgt die Anzahl der Reihen 400, 500 neben der Kante 410 N, wobei N = 2 ist. Die Anzahl der Reihen 402, 502 neben der Kante 412 ist M, wobei M = 2 ist. Die Anzahl der Reihen 404, 406 benachbart zu den jeweiligen Kanten 416, 414 ist P und O, wobei O = P = 1 ist. In anderen Ausführungsformen können M, N, O und P jede beliebige geeignete Zahl sein. Zum Beispiel können M, N, O und P jeweils gleich eins (1) sein, wie in 4 gezeigt. Alternativ kann M gleich eins (1) sein, N kann gleich eins (1) sein, O kann gleich eins (1) sein und P kann gleich drei (3) sein.
  • Die Anzahl der Reihen 400, 402, 404, 406, 500, 502 von VIS-Zellen 408 basiert auf der Dichte der VIS 420 auf einer Die-Schicht. Wie zuvor beschrieben, basiert die Dichte der VIS 420 auf einem oder auf mehreren Faktoren. Die Art der Vorrichtungen auf einer Die-Schicht, die Leistungsanforderungen der Vorrichtungen auf der Die-Schicht, die Leistungsanforderungen der Vorrichtungen auf allen Die-Schichten, die oberhalb und über der Die-Schicht positioniert sind, und/oder der IR-Abfall, den die Signale auf allen Die-Schichten erfahren, die oberhalb und über der Die-Schicht positioniert sind, sind Faktoren, die beim Bestimmen der Dichte der VIS 420 in einer Die-Schicht berücksichtigt werden. Außerdem kann die Dichte der VIS 420 über eine Die-Schicht basierend auf einem oder mehreren Faktoren variieren. Beispielsweise kann sich die Dichte der VIS 420 in einem Teil einer Die-Schicht von der Dichte der VIS 420 in einem anderen Teil der Die-Schicht unterscheiden, basierend auf der Art der Vorrichtungen in jedem Abschnitt der Die-Schicht, den Leistungsanforderungen der Vorrichtungen in jedem Abschnitt der Die-Schicht, den Leistungsanforderungen der Vorrichtungen auf allen Die-Schichten, die oberhalb und über der Die-Schicht positioniert sind, und/oder dem IR-Abfall, den die Signale auf allen Die-Schichten erfahren, die oberhalb und über der Die-Schicht positioniert sind.
  • 6 zeigt ein Blockdiagramm eines ersten Beispiels einer Die-Schicht gemäß einigen Ausführungsformen. In einem nicht einschränkenden Beispiel ist die Die-Schicht 600 die untere Die-Schicht 200A in 2. Mehrere Vorrichtungen 602 sind innerhalb oder auf der Die-Schicht 600 angeordnet. Wie zuvor erörtert, umfassen beispielhafte Vorrichtungen 602 SRAM-, RRAM-, DRAM- und Flash-Speichervorrichtungen, ADCs, I/O-Vorrichtungen und/oder oder Recheneinheiten.
  • In 6 kann es sich bei den Vorrichtungen 602 um dieselbe Art von Vorrichtungen (z. B. Speicher) handeln oder es kann sich wenigstens eine Art von Vorrichtung 602 (z. B. Speicher) von einer anderen Art von Vorrichtung 602 (z. B. Logikschaltung) unterscheiden. In der beispielhaften Ausführungsform wird ein erstes Gitter 604 von VIS auf der Die-Schicht 600 gebildet, so dass jede Vorrichtung 602 seitlich von einem zweiten Gitter 605 von VIS umgeben ist. 6 zeigt erste Reihen 606 von VIS (z. B. vertikale oder senkrechte Reihen in Bezug auf die Kante 608) und zweite Reihen 610 von VIS (z. B. horizontale oder parallele Reihen in Bezug auf die Kante 608) und eine Mittelreihe 612 von VIS. Die Anzahl und die Anordnung der VIS dienen zu Illustrationszwecken und andere Ausführungsformen können eine geringere oder eine größere Anzahl von VIS aufweisen, die in einem beliebigen gegebenen Layout positioniert sind.
  • Die VIS können Leistungs-VIS sein, die konfiguriert sind, um Leistungssignale an die Vorrichtungen 602 und an die Vorrichtungen auf einer oder mehreren zusätzlichen Die-Schichten zu übertragen, die an der Die-Schicht 600 angebracht sind. In einer Ausführungsform können die VIS, die in der Mittelreihe 612 des Gitters 604 positioniert sind, Datensignal-VIS sein, die Datensignale zwischen Die-Schichten in der 3D-IC-Struktur übertragen. Somit ist die Mittelreihe 612 eine Signalleitung. In anderen Ausführungsformen können die Datensignal-VIS an anderen Stellen in einer Die-Schicht positioniert sein. Zum Beispiel können die Datensignal-VIS an einer oder mehreren der ersten Reihen 606 angeordnet sein, die sich um den Umfang der Die-Schicht 600 (z. B. erste Reihen 606') befinden.
  • In einigen Ausführungsformen verbinden eine oder mehrere Signalleitungen VIS elektrisch mit jeweiligen Vorrichtungen. Wie beispielsweise in 6 gezeigt, verbindet die Signalleitung 614 die Vorrichtung 602 elektrisch mit einem VIS in der Mittelreihe 612 der VIS. Obwohl in 6 nur eine Signalleitung 614 dargestellt ist, können andere Ausführungsformen jede geeignete Anzahl von Signalleitungen verwenden, um VIS mit jeweiligen Vorrichtungen zu verbinden.
  • 7 veranschaulicht ein Blockdiagramm eines zweiten Beispiels einer Die-Schicht gemäß einigen Ausführungsformen. 7 zeigt, dass wenigstens eine Vorrichtung im Vergleich zu anderen Vorrichtungen eine größere Fläche auf einer Die-Schicht 700 verbrauchen kann. Außerdem kann sich die Fläche eines oder mehrerer ungenutzter Die-Bereiche von der Fläche anderer ungenutzter Die-Bereiche unterscheiden. Somit können die Die-Bereiche, die von den Vorrichtungen verwendet werden, und/oder die Die-Bereiche, die von den ungenutzten Die-Flächen eingenommen werden, in einigen Ausführungsformen variieren.
  • In einem nicht einschränkenden Beispiel ist die Die-Schicht 700 die untere IC-Schicht 200A in 2. In und/oder auf der Die-Schicht 700 sind mehrere Vorrichtungen 602 angeordnet. Wie in 7 gezeigt, verwendet jede der Vorrichtungen 702, 704 eine größere Fläche auf der Die-Schicht 700 im Vergleich zu der von jeder der anderen Vorrichtung 602 verwendeten Fläche. Zusätzlich ist das Ausmaß an Fläche, die durch die ungenutzte Die-Fläche um eine VIS (z. B. die ungenutzte Die-Fläche 422 in 4) verbraucht wird, die an die Kanten der Vorrichtung 704 angrenzt, größer als die Ausmaße an Flächen, die von den ungenutzten Die-Flächen 708 um ein VIS herum verwendet werden, die an die Vorrichtung 702 angrenzt. In einigen Ausführungsformen basiert die Größe oder Fläche einer ungenutzten Die-Fläche auf der Art der Vorrichtung, die an die VIS-Zellen angrenzt, und/oder einer Größe der Vorrichtung 602, 702, 704.
  • Zusätzlich oder alternativ können ein oder mehrere VIS entfernt werden (siehe Fläche 710), um eine zusätzliche Die-Fläche für eine größere Vorrichtung 602' bereitzustellen und/oder um Signalleitungen zu führen. Die Bestimmung, welche VIS entfernt werden sollen, basiert auf den Leistungsanforderungen der Vorrichtung 602', den Leistungsanforderungen der Vorrichtungen 602 um die Vorrichtung 602' herum und/oder den Leistungsanforderungen der Vorrichtungen auf allen Die-Schichten, die oberhalb und über der Die-Schicht 700 positioniert sind.
  • 8 veranschaulicht ein zweites Beispiel einer 3D-IC-Struktur gemäß einigen Ausführungsformen. Die 3D-IC-Struktur 800 umfasst eine obere Die-Schicht 802, eine untere Die-Schicht 804 und Zwischen-Die-Schichten 806, 808. Die obere Die-Schicht 802 umfasst Vorrichtungen 206 und jede der Die-Schichten 804, 806, 8o8 umfasst eine oder mehrere Vorrichtungen 204.
  • In einer Ausführungsform sind die Reihen 810 von VIS-Zellen 408 in einem Gitter auf jeder Die-Schicht 802, 804, 806, 808 angeordnet. Die VIS (z. B. VIS 420 in 4) in den VIS-Zellen 408 sind konfiguriert, um Leistungssignale, Datensignale und/oder analoge Vorspannungssignale zwischen den Die-Schichten 802, 804, 806, 806 zu übertragen. Eine Mittelreihe 812 von VIS-Zellen 408 auf der oberen Die-Schicht 802, der unteren Die-Schicht 804 und auf den Zwischen-Die-Schichten 806, 808 können konfiguriert sein, um Datensignale zwischen den Die-Schichten 802, 804, 806, 808 zu übertragen. Andere Ausführungsformen können Datensignale, Leistungssignale und/oder analoge Vorspannungssignale unter Verwendung eines beliebigen geeigneten Gitter-Layouts von VIS-Zellen 408 auf jeder Die-Schicht 802, 804, 806, 808 übertragen.
  • In einer Ausführungsform ist eine Stromversorgung 814 betriebsfähig mit der unteren Die-Schicht 804 verbunden, um der 3D-IC 800 Leistungssignale bereitzustellen. In solchen Ausführungsformen ist die Dichte der VIS in der unteren Die-Schicht 804 größer als die Dichte der VIS in der Zwischen-Die-Schicht 808. Die Dichte der VIS in der Zwischen-Die-Schicht 808 ist größer als die Dichte der VIS in der Zwischen-Die-Schicht 806. Die Dichte der VIS in der Zwischen-Die-Schicht 806 ist größer als die Dichte der VIS in der oberen Die-Schicht 802. Die VIS-Dichten auf jeder Die-Schicht 802, 804, 806, 808 nehmen von der oberen Die-Schicht 802 zur unteren Die-Schicht 804 zu. Die Dichte der VIS in der unteren Die-Schicht 804 ist aufgrund der Leistungsanforderungen der Vorrichtungen 204, 206 auf allen Die-Schichten 802, 804, 806, 808 am größten. Da die VIS in der unteren Die-Schicht 804 allen Die-Schichten 802, 804, 806, 808 Leistungssignale zuführen, basiert die Dichte der VIS in der unteren Die-Schicht 804 auf den Leistungsanforderungen der Vorrichtungen 204 auf der unteren Die-Schicht 804, den Leistungsanforderungen der Vorrichtungen 204 auf der Zwischen-Die-Schicht 808, den Leistungsanforderungen der Vorrichtungen 204 auf der Zwischen-Die-Schicht 806, den Leistungsanforderungen der Vorrichtungen 206 auf der oberen Die-Schicht 802 und/oder den IR-Abfällen, die erzeugt werden, wenn die Leistungssignale von der unteren Die-Schicht 804 zur Zwischen-Die-Schicht 808, von der Zwischen-Die-Schicht 808 zur Zwischen-Die-Schicht 806 und von der Zwischen-Die-Schicht 806 zur oberen Die-Schicht 802 übertragen werden.
  • Die Dichte der VIS in der Zwischen-Die-Schicht 808 basiert auf den Leistungsanforderungen der Vorrichtungen 204 auf der Zwischen-Die-Schicht 808, den Leistungsanforderungen der Vorrichtungen 204 auf der Zwischen-Die-Schicht 806, den Leistungsanforderungen der Vorrichtungen 206 auf der oberen Die-Schicht 802 und/oder den IR-Abfällen, die erzeugt werden, wenn die Leistungssignale von der Zwischen-Die-Schicht 808 zur Zwischen-Die-Schicht 806 und von der Zwischen-Die-Schicht 806 zur oberen Die-Schicht 802 übertragen werden. In ähnlicher Weise basiert die Dichte der VIS in der Zwischen-Die-Schicht 806 auf den Leistungsanforderungen der Vorrichtungen 204 auf der Zwischen-Die-Schicht 806, den Leistungsanforderungen der Vorrichtungen 206 auf der oberen Die-Schicht 802 und/oder den IR-Abfällen, die erzeugt werden, wenn die Leistungssignale von der mittleren Die-Schicht 806 zur oberen Die-Schicht 802 übertragen werden. Die Dichte der VIS in der oberen Die-Schicht 802 basiert auf den Leistungsanforderungen der Vorrichtungen 206 auf der oberen Die-Schicht 802.
  • Außerdem sind, wie zuvor erörtert, die Teilung und die Durchmesser der VIS in einer jeweiligen Die-Schicht 802, 804, 806, 808 gleich, aber die Teilung und der Durchmesser der VIS in einer Die-Schicht können sich von der Teilung und dem Durchmesser der VIS in einer anderen Die-Schicht unterscheiden. In einigen Ausführungsformen unterscheiden sich die Dichte, die Teilung und der Durchmesser der VIS in jeder Die-Schicht von der Teilung, der Dichte und dem Durchmesser der VIS in allen anderen Die-Schichten.
  • In anderen Ausführungsformen ist eine Stromversorgung betriebsfähig mit einer oberen Die-Schicht verbunden. In solchen Ausführungsformen ist die Dichte der VIS an der oberen Die-Schicht am größten und nimmt mit jeder Die-Schicht unterhalb der oberen Die-Schicht ab. 9 - 11 veranschaulichen die Die-Schichten in einer 3D-IC, wo die Dichte der VIS an der oberen Die-Schicht am größten ist und die Dichten der VIS mit jeder Die-Schicht unter der oberen Die-Schicht abnehmen. 9 zeigt ein drittes Beispiel einer Die-Schicht gemäß einigen Ausführungsformen. Die Die-Schicht 900 ist eine untere Die-Schicht in der 3D-IC-Struktur, die durch die Die-Schichten 900, 1000, 1100 gebildet wird, und umfasst eine Vorrichtung 902. Ein Beispiel einer Vorrichtung 902 ist eine Recheneinheit. Die VIS in der Die-Schicht 900 weisen eine erste Dichte auf und sind in einem Gitter-Layout um die Vorrichtung 902 herum angeordnet.
  • 10 veranschaulicht ein viertes Beispiel einer Die-Schicht gemäß einigen Ausführungsformen. Die Die-Schicht 1000 ist eine Zwischen-Die-Schicht in der 3D-IC-Struktur, die durch die Die-Schichten 900, 1000, 1100 gebildet wird, und umfasst eine Anordnung von vier (4) Vorrichtungen 1002. Die Vorrichtungen 1002 können die gleiche Art von Vorrichtungen oder unterschiedliche Arten von Vorrichtungen sein. Beispielhafte Vorrichtungen 1002 umfassen HF-Vorrichtungen, Logikvorrichtungen, analoge Vorrichtungen, Speichervorrichtungen, Recheneinheiten oder Kombinationen davon. Die VIS in der Die-Schicht 1000 haben eine zweite Dichte, sind in einem Gitter-Layout auf der Die-Schicht 1000 angeordnet und sind in einem Gitter-Layout um jede Vorrichtung 1002 herum angeordnet. Die zweite Dichte der VIS in der Die-Schicht 1000 ist größer als die erste Dichte der VIS in der Die-Schicht 900.
  • 11 zeigt ein fünftes Beispiel einer Die-Schicht gemäß einigen Ausführungsformen. Die Die-Schicht 1100 ist eine obere Die-Schicht der 3D-IC-Struktur, die durch die Die-Schichten 900, 1000 und 1100 gebildet wird, und umfasst ein Array von sechzehn (16) Vorrichtungen 1102. Wie zuvor erörtert, können die Vorrichtungen 1102 vom gleichen Typ Vorrichtungen oder verschiedene Vorrichtungstypen sein. Beispielhafte Vorrichtungen 1102 umfassen, sind aber nicht darauf beschränkt, ADCs, analoge Schaltungen, HF-Schaltungen, Logikschaltungen, I/O-Vorrichtungen, Speichervorrichtungen oder Kombinationen davon.
  • Die VIS in der Die-Schicht 1100 haben eine dritte Dichte, sind in einem Gitter-Layout auf der Die-Schicht 1100 angeordnet und sind in einem Gitter-Layout um jede Vorrichtung 1102 herum angeordnet. Eine Stromversorgung 1104 ist betriebsmäßig mit der Die-Schicht 1100 verbunden. Somit unterstützen die VIS in der Die-Schicht 1100 die Leistungsanforderungen aller Vorrichtungen 902, 1002 und 1102 in der 3D-IC-Struktur. Dementsprechend ist die Dichte der VIS in der Die-Schicht 1100 größer als die jeweilige Dichte der VIS in den Die-Schichten 900, 1000.
  • 12 veranschaulicht ein Flussdiagramm eines beispielhaften Verfahrens zum Herstellen einer Die-Schicht gemäß einigen Ausführungsformen. Anfänglich wird, wie in Block 1200 gezeigt, ein Substrat bereitgestellt. Das Substrat kann jede geeignete Art von Substrat sein. Beispielsubstrate umfassen, sind aber nicht darauf beschränkt, ein Siliziumsubstrat, ein Silizium-auf-Isolator-(SOI)-Substrat, ein Saphir-Substrat oder ein Verbund-Substrat (z. B. ein Galliumarsenid-Substrat, ein Galliumnitrid-Substrat).
  • Als Nächstes werden, wie in Block 1202 gezeigt, die Platzierung für die Vorrichtungen und die Platzierung für die VIS bestimmt. In einer Ausführungsform werden die Platzierung für die Vorrichtungen und die Platzierung für die VIS basierend auf einer Vorrichtungsspezifikation und einem Layout-Diagramm bestimmt. Zusätzlich kann die Platzierung mit einem EDA bestimmt werden. In einigen Ausführungsformen werden einige oder alle Platzierungen manuell eingerichtet.
  • Die VIS werden bei Block 1204 gebildet. Die VIS können TSVs, TDVs und andere Arten von vertikalen Interconnect-Strukturen sein. Jeder geeignete Prozess kann verwendet werden, um die VIS zu aufzubauen. Zum Beispiel umfasst eine Technik zum Bilden von TSVs das Bilden einer ersten Maskenschicht über dem Substrat und das Strukturieren der ersten Maskenschicht, um Öffnungen einzuschließen, wo die VIS gebildet werden.
  • In den Öffnungen wird ein leitfähiges Material ausgebildet (z. B. abgeschieden). In einem nicht einschränkenden Beispiel wird das leitfähige Material unter Verwendung eines PVD-Prozesses oder eines CVD-Prozesses abgeschieden. Das leitfähige Material kann aus jedem geeigneten leitfähigen Material bestehen, wie etwa Kupfer, Kobalt, Aluminium, Wolfram, dotiertem Polysilizium, einem anderen geeigneten leitfähigen Material und/oder Kombinationen davon.
  • Die erste Maskenschicht wird dann entfernt und eine zweite Maskenschicht wird über dem Substrat gebildet. Die zweite Maskenschicht wird so strukturiert, dass sie Öffnungen aufweist, in denen Isoliermaterial um das leitfähige Material herum ausgebildet wird. In den Öffnungen um das leitfähige Material herum wird ein Isoliermaterial ausgebildet, um die TSVs elektrisch zu isolieren. Anschließend wird die zweite Maskenschicht entfernt.
  • Die Vorrichtungen werden bei Block 1206 innerhalb und/oder auf dem Substrat gebildet. Jeder geeignete Prozess kann verwendet werden, um die Vorrichtungen aufzubauen. Bei Block 1208 werden eine oder mehrere Signalleitungen gebildet, um eine Vorrichtung oder mehrere Vorrichtungen mit einem ausgewählten VIS in der Die-Schicht zu verbinden. Die Signalleitung(en) verbindet (-en) eine Vorrichtung oder mehrere Vorrichtungen elektrisch mit einem jeweiligen VIS.
  • 13 veranschaulicht ein Flussdiagramm eines beispielhaften Verfahrens zum Herstellen einer 3D-IC-Struktur gemäß einigen Ausführungsformen. Anfänglich wird, wie in Block 1300 gezeigt, eine erste Die-Schicht erarbeitet. Das Erarbeiten der ersten Die-Schicht umfasst das Bilden der Vorrichtungen, der VIS und von Signalleitungen innerhalb und/oder auf der ersten Die-Schicht. Jeder geeignete Herstellungsprozess kann verwendet werden, um die Vorrichtungen, die VIS und die Signalleitungen aufzubauen.
  • Eine leitfähige Zwischenschicht wird auf einer Oberfläche der ersten Die-Schicht gebildet und strukturiert, um einen oder mehrere Kontakte und/oder eine oder mehrere Signalleitungen zu erzeugen (Block 1302). In einem beispielhaften Prozess wird ein leitfähiges Material (z. B. Kupfer) auf der Oberfläche der ersten Die-Schicht abgeschieden. Über dem leitenden Material wird eine Maskenschicht gebildet und strukturiert, um die Stellen des/der Kontakte(s) und/oder der Signalleitung(en) zu definieren. Das in der strukturierten Maskenschicht freigelegte leitfähige Material wird entfernt (geätzt), um den einen oder die mehreren Kontakte und/oder die eine oder die mehreren Signalleitungen zu erzeugen. Zwischen dem/den Kontakt(en) und/oder der/den Signalleitung(en) wird dann ein Isoliermaterial gebildet. Wie zuvor beschrieben, wird die leitende Zwischenschicht verwendet, um Signale zwischen der ersten Die-Schicht und einer zweiten Die-Schicht zu leiten, die über der ersten Die-Schicht positioniert ist.
  • Bei Block 1304 wird eine zweite Die-Schicht bearbeitet. Ähnlich der Verarbeitung der ersten Die-Schicht umfasst die Verarbeitung der zweiten Die-Schicht das Ausbilden der Vorrichtungen und der VIS innerhalb und/oder auf der zweiten Die-Schicht. Die zweite Die-Schicht wird bearbeitet, um sie mit der ersten Die-Schicht auszurichten.
  • Als Nächstes wird, wie in Block 1306 gezeigt, die zweite Die-Schicht an der leitenden Zwischenschicht und der ersten Die-Schicht angebracht, um eine 3D-IC-Struktur zu erzeugen. In einer Ausführungsform unterscheidet sich wenigstens einer der Parameter von Teilung, Dichte und/oder Durchmesser der VIS in der ersten Die-Schicht von wenigstens einem der Parameter von Teilung, Dichte und/oder Durchmesser der VIS in der zweiten Die-Schicht. Zusätzlich oder alternativ sind die VIS in der ersten Die-Schicht in einem ersten Raster-Layout auf dem ersten Die angeordnet und die VIS in der zweiten Die-Schicht sind in einem zweiten Raster-Layout auf dem zweiten Die angeordnet. Die Anordnung der VIS im ersten Gitter-Layout unterscheidet sich von der Anordnung der VIS im zweiten Gitter-Layout.
  • In anderen Ausführungsformen können die in den Blöcken vermerkten Operationen außerhalb der in den 12 und 13 gezeigten Reihenfolge auftreten. Beispielsweise können zwei nacheinander gezeigte Blöcke tatsächlich im Wesentlichen gleichzeitig ausgeführt werden. Zusätzlich oder alternativ können Blöcke abhängig von den beteiligten Funktionalitäten/Aktionen in umgekehrter Reihenfolge ausgeführt werden.
  • 14 zeigt ein beispielhaftes System, das zum Designen einer 3D-IC-Struktur gemäß einigen Ausführungsformen geeignet ist. Der Designprozess kann durch ein Computersystem, wie beispielsweise ein ECAD-System, implementiert werden. Einige oder alle der hierin offenbarten Operationen für Designverfahren (z. B. Layout) können als Teil eines Designverfahrens durchgeführt werden, das in einem Designhaus durchgeführt wird, wie beispielsweise das unten in Verbindung mit 15 erörterte Designhaus 1502.
  • In einigen Ausführungsformen umfasst das System 1400 ein automatisiertes Place-and-Route-System (APR-System). In einigen Ausführungsformen umfasst das System 1400 eine Verarbeitungsvorrichtung 1402 und ein nicht flüchtiges, computerlesbares Speichermedium 1404 („Speichervorrichtung“). Die Verarbeitungsvorrichtung 1402 ist eine beliebige geeignete Verarbeitungsvorrichtung oder Verarbeitungsvorrichtungen. Beispielhafte Verarbeitungsvorrichtungen umfassen, sind aber nicht darauf beschränkt, eine zentrale Verarbeitungseinheit, einen Mikroprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung, eine Grafikverarbeitungseinheit, ein feldprogrammierbares Gate-Array oder Kombinationen davon.
  • Die Speichervorrichtung 1404 kann beispielsweise mit Computerprogrammcode (z. B. einem Satz ausführbarer Anweisungen 1406) codiert werden oder diesen speichern. Die Ausführung der ausführbaren Anweisungen 1406 durch die Verarbeitungsvorrichtung 1402 stellt (wenigstens teilweise) ein ECAD-Tool dar, das einen Teil oder alle der hierin beschriebenen Verfahren implementiert, um die Designs für die hierin offenbarten Strukturen und ICs zu erzeugen. Ferner können die Herstellungswerkzeuge 1408 für das Layout und die physikalische Implementierung der ICs enthalten sein. In einer oder mehreren Ausführungsformen ist die Speichervorrichtung 1404 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder ein Halbleitersystem (oder ein Gerät oder eine Vorrichtung). Zum Beispiel umfasst die Speichervorrichtung 1404 einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine entfernbare Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Nur-Lese-Speicher (ROM), eine starre Magnetplatte und/oder eine optische Platte. In einer oder mehreren Ausführungsformen, die optische Platten verwenden, umfasst die Speichervorrichtung 1404 einen Compact-Disk-Nur-Lese-Speicher (CD-ROM), eine Compact-Disk-Lese-/Schreibspeicher (CD-R/W) und/oder eine digitale Videoplatte (DVD).
  • Die Verarbeitungsvorrichtung 1402 ist über einen Bus 1410 betriebsbereit mit der Speichervorrichtung 1404 verbunden. Die Verarbeitungsvorrichtung 1402 ist auch durch den Bus 1410 betriebsbereit mit einer Eingabe/Ausgabe-(I/0)-Schnittstelle 1412 und einer Netzwerkschnittstelle 1414 verbunden. Die Netzwerkschnittstelle 1414 ist betriebsfähig mit einem Netzwerk 1416 verbunden, so dass die Verarbeitungsvorrichtung 1402 und die Speichervorrichtung 1404 in der Lage sind, sich über das Netzwerk 1416 mit externen Elementen zu verbinden. In einer oder mehreren Ausführungsformen veranschaulicht das Netzwerk 1416 jede Art von drahtgebundenem Netzwerk und/oder drahtlosem Netzwerk, wie beispielsweise ein Intranet und/oder ein verteiltes Computernetzwerk (z. B. das Internet).
  • Die Netzwerkschnittstelle 1414 ermöglicht es dem System 1400, mit anderen Computer- oder elektronischen Vorrichtungen (nicht gezeigt) über das Netzwerk 1416 zu kommunizieren. Die Netzwerkschnittstelle 1414 umfasst drahtlose Netzwerkschnittstellen und/oder drahtgebundene Netzwerkschnittstellen. Beispielhafte drahtlose Netzwerkschnittstellen umfassen BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA. Beispiele für kabelgebundene Netzwerkschnittstellen sind ETHERNET, USB oder IEEE-1364. In einer oder mehreren Ausführungsformen werden einige oder alle der hierin offenbarten Prozesse und/oder Verfahren in einem verteilten System über das Netzwerk 1416 implementiert.
  • Die Verarbeitungsvorrichtung 1402 ist dazu konfiguriert, die in der Speichervorrichtung 1404 kodierten ausführbaren Anweisungen 1406 auszuführen, um zu bewirken, dass das System 1400 zum Ausführen einiger oder aller der Prozesse und/oder Verfahren verwendbar ist. Zum Beispiel kann eine elektronische Designanwendung (z. B. in einem ECAD-System oder als eigenständige Anwendung) konfiguriert werden, um die in den 1-13 gezeigten Verfahren und Techniken auszuführen.
  • In einer oder in mehreren Ausführungsformen speichert die Speichervorrichtung 1404 die ausführbaren Anweisungen 1406, die konfiguriert sind, um zu bewirken, dass das System 1400 zum Ausführen einiger oder aller der Prozesse und/oder Verfahren verwendbar ist. In einer oder in mehreren Ausführungsformen speichert die Speichervorrichtung 1404 auch Informationen, die die Ausführung eines Teils oder aller Prozesse und/oder Verfahren erleichtern. In einer oder in mehreren Ausführungsformen speichert die Speichervorrichtung 1404 eine Zellenbibliothek 1418, die (wenigstens teilweise) Standard- und/oder zuvor designte Zellen umfasst.
  • Die I/O-Schnittstelle 1412 ist betriebsfähig mit I/O-Vorrichtungen 1420 verbunden. In einer oder mehreren Ausführungsformen beinhalten die I/O-Vorrichtungen 1420 eines oder mehrere von einer Bilderfassungsvorrichtung, einem Mikrofon, einem Scanner, einer Tastatur, einem Tastaturpad, einer Maus, einem Trackpad, einem Touchscreen und/oder Cursor-Richtungstasten zum Übermitteln von Informationen und Befehlen an die Verarbeitungsvorrichtung 1402. Die I/O-Vorrichtungen 1420 können auch eine oder mehrere Anzeigen, einen oder mehrere Lautsprecher, einen Drucker, Kopfhörer, eine haptische oder taktile Feedbackvorrichtung und dergleichen umfassen.
  • Das System 1400 ist konfiguriert, um Informationen über die I/O-Schnittstelle 1412 zu empfangen. Die über die I/O-Schnittstelle 1412 empfangenen Informationen umfassen einen oder mehrere von Befehlen, Daten, Designregeln, Zellenbibliotheken und/oder anderen Parametern für die Verarbeitung durch die Verarbeitungsvorrichtung 1402. Die Informationen werden über den Bus 1410 an die Verarbeitungsvorrichtung 1402 übertragen. Das System 1400 ist konfiguriert, um Informationen bezüglich einer Benutzerschnittstelle (UI) über die I/O-Schnittstelle 1412 zu empfangen. Die Informationen werden in die Speichervorrichtung 1404 als eine UI 1422 oder zur Darstellung in der UI 1422 gespeichert.
  • In einigen Ausführungsformen wird ein Teil oder werden alle der Prozesse und/oder Verfahren als eigenständige Softwareanwendung (z. B. eine EDA) zur Ausführung durch eine Verarbeitungsvorrichtung (z. B. Verarbeitungsvorrichtung 1402) implementiert. In einigen Ausführungsformen wird ein Teil oder werden alle der Prozesse und/oder Verfahren als eine Softwareanwendung implementiert, die Teil einer zusätzlichen Softwareanwendung ist. In einigen Ausführungsformen wird ein Teil oder werden alle der Prozesse und/oder Verfahren als Plug-In für eine Softwareanwendung implementiert. In einigen Ausführungsformen ist wenigstens einer der Prozesse und/oder eines der Verfahren als eine Softwareanwendung implementiert, die ein Teil eines EDA-Tools ist. In einigen Ausführungsformen wird ein Teil oder werden alle der Prozesse und/oder Verfahren als eine Softwareanwendung implementiert, die vom System 1400 verwendet wird. In einigen Ausführungsformen wird ein Layout-Diagramm, das Standard- und/oder zuvor designte Zellen umfasst, unter Verwendung eines Tools wie VIRTUOSO, erhältlich von CADENCE DESIGN SYSTEMS, Inc., oder ein anderes geeignetes Layout-Erzeugungswerkzeug erzeugt.
  • In einigen Ausführungsformen werden die Prozesse als Funktionen eines Programms realisiert, das in einem nichtflüchtigen computerlesbaren Aufzeichnungsmedium (z. B. der Speichervorrichtung 1404) gespeichert ist. Beispiele für ein nichtflüchtiges computerlesbares Aufzeichnungsmedium umfassen, sind aber nicht darauf beschränkt, externe/entfernbare und/oder interne/eingebaute Speicher- oder Speichereinheiten, z. B. eine oder mehrere aus einer Bildplatte wie beispielsweise eine DVD, einer Magnetplatte wie beispielsweise eine Festplatte, einem Halbleiterspeicher wie beispielsweise ein ROM, ein RAM, eine Speicherkarte und dergleichen.
  • Wie oben erwähnt, können Ausführungsformen des Systems 1400 die Herstellungswerkzeuge 1408 zum Implementieren der Prozesse und/oder Verfahren, die in der Speichervorrichtung 1404 gespeichert sind, umfassen. Zum Beispiel kann eine Synthese an einem Design durchgeführt werden, bei dem das Verhalten und/oder Funktionen, die von dem Design erwünscht sind, in eine funktional äquivalente Schaltungsbeschreibung auf Logik-Gate-Ebene transformiert werden, indem das Design an Zellen angepasst wird, die aus der Zellenbibliothek 1418 ausgewählt werden. Die Synthese ergibt eine funktional äquivalente Schaltungsbeschreibung auf Logik-Gate-Ebene wie eine Netzliste auf Gate-Ebene. Basierend auf der Netzliste auf Gate-Ebene kann eine fotolithografische Maske erzeugt werden, die verwendet wird, um den IC durch die Herstellungswerkzeuge 1408 herzustellen. Weitere Aspekte der Vorrichtungsherstellung sind in Verbindung mit 15 offenbart, die ein Blockdiagramm eines Herstellungssystems für integrierte Schaltungen und eines damit verbundenen Herstellungsablaufs gemäß einigen Ausführungsformen ist. In einigen Ausführungsformen, basierend auf einem Layout-Diagramm, wird wenigstens eines von: (a) einer oder mehreren Halbleitermasken; oder (b) wenigstens einer Komponente in einer Schicht eines Halbleiter-IC unter Verwendung des Herstellungssystems 1500 hergestellt.
  • 15 veranschaulicht ein Blockdiagramm eines beispielhaften Herstellungssystems für integrierte Schaltungen und eines Herstellungsablaufs gemäß einigen Ausführungsformen. In der veranschaulichten Ausführungsform umfasst das IC-Fertigungssystem 1500 Einheiten, wie etwa ein Designhaus 1502, ein Maskenhaus 1504 und einen IC-Produzenten/Hersteller („Fab“) 1506, die beim Design, der Entwicklung und den Herstellungszyklen und/oder Dienstleistungen im Zusammenhang mit der Herstellung einer IC 1508, wie beispielsweise der hierin offenbarten ICs, miteinander interagieren. Die Einheiten im System 1500 sind durch ein Kommunikationsnetzwerk (nicht gezeigt) betriebsfähig verbunden. In einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. In einigen Ausführungsformen ist das Kommunikationsnetzwerk eine Vielzahl unterschiedlicher Netzwerke, wie beispielsweise ein Intranet und das Internet. Das Kommunikationsnetz umfasst drahtgebundene und/oder drahtlose Kommunikationskanäle.
  • Jede Einheit interagiert mit einer oder mehreren der anderen Einheiten und stellt Dienste für eine oder mehrere der anderen Einheiten bereit und/oder empfängt Dienste von ihnen. In einigen Ausführungsformen befinden sich zwei oder mehr des Designhauses 1502, des Maskenhauses 1504 und der IC-Fertigung 1506 im Besitz einer einzigen Firma. In einigen Ausführungsformen koexistieren zwei oder mehr des Designhauses 1502, des Maskenhauses 1504 und der IC-Fertigung 1506 in einer gemeinsamen Einrichtung und verwenden gemeinsame Ressourcen.
  • Das Designhaus (oder Designteam) 1502 erzeugt ein IC-Design-Layout-Diagramm 1510. Das IC-Design-Layout-Diagramm 1510 umfasst verschiedene geometrische Strukturen oder IC-Layout-Diagramme, die für den herzustellenden IC 1508 entworfen sind. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, die die verschiedenen Komponenten des herzustellenden IC 1508 bilden. Die verschiedenen Schichten werden kombiniert, um verschiedene IC-Merkmale zu bilden. Zum Beispiel umfasst ein Teil des IC-Design-Layout-Diagramms 1510 verschiedene IC-Merkmale, wie zum Beispiel aktive Diffusionsbereiche, Gate-Elektroden, Source und Drain, Metallleitungen oder lokale Durchkontaktierungen und Öffnungen für Bondpads, die in einem Halbleitersubstrat auszubilden sind (wie ein Siliziumwafer) und verschiedene Materialschichten, die auf dem Halbleitersubstrat angeordnet sind.
  • Das Designhaus 1502 implementiert ein Design-Verfahren, um das IC-Design-Layout-Diagramm 1510 zu bilden. Das Design-Verfahren umfasst eines oder mehrere von Logikdesign, physischem Design oder Place-and-Route. Das IC-Design-Layout-Diagramm 1510 wird in einer oder mehreren Datendateien vorgelegt, die Informationen über die geometrischen Strukturen enthalten. Zum Beispiel kann das IC-Design-Layout-Diagramm 1510 in einem GDS-Dateiformat, einem GDSII-Dateiformat oder einem DFII-Dateiformat ausgedrückt werden.
  • Das Maskenhaus 1504 umfasst eine Maskendatenaufbereitung 1512 und eine Maskenherstellung 1514. Das Maskenhaus 1504 verwendet das IC-Design-Layout-Diagramm 1510, um eine oder mehrere Masken 1516 herzustellen, die zum Herstellen der verschiedenen Schichten des IC 1508 gemäß des IC-Design-Layout-Diagramms 1510. Das Maskenhaus 1504 führt eine Maskendatenaufbereitung 1512 durch, wobei das IC-Design-Layout-Diagramm 1510 in eine repräsentative Datendatei („RDF“) übersetzt wird. Die Maskendatenaufbereitung 1512 stellt die RDF der Maskenherstellung 1514 bereit. Die Maskenherstellung 1514 umfasst einen Maskenschreiber (nicht gezeigt), der die RDF in ein Bild auf einem Substrat umwandelt, wie beispielsweise eine Maske (Retikel) 1516 auf einem Halbleiterwafer. Das IC-Design-Layout-Diagramm 1510 wird durch die Maskendatenaufbereitung 1512 manipuliert, um bestimmten Eigenschaften des Maskenschreibers und/oder Anforderungen der IC-Fertigung 1506 zu entsprechen. In 15 sind die Maskendatenaufbereitung 1512 und die Maskenherstellung 1514 als separate Elemente dargestellt. In einigen Ausführungsformen können die Maskendatenaufbereitung 1512 und die Maskenherstellung 1514 gemeinsam als Maskendatenaufbereitung bezeichnet werden.
  • In einigen Ausführungsformen umfasst die Maskendatenaufbereitung 1512 eine Nahbereichskorrektur (OPC), die Lithographieverbesserungstechniken verwendet, um Bildfehler zu kompensieren, wie beispielsweise solche, die aus Beugung, Interferenz, anderen Prozesseffekten und dergleichen entstehen können. Die OPC passt das IC-Design-Layout-Diagramm 1510 an. In einigen Ausführungsformen beinhaltet die Maskendatenaufbereitung 1512 weitere Techniken zur Auflösungsverbesserung (RET), wie z. B. Schrägbeleuchtung, Unterauflösungsunterstützungsmerkmale, Phasenverschiebungsmasken, andere geeignete Techniken und dergleichen oder Kombinationen davon. In einigen Ausführungsformen wird auch eine inverse Lithographie-Technologie (ILT) verwendet, die OPC als ein inverses Abbildungsproblem behandelt.
  • In einigen Ausführungsformen umfasst die Maskendatenaufbereitung 1512 einen Maskenregelprüfer (MRC) (nicht gezeigt), der das IC-Design-Layout-Diagramm 1510 überprüft, das Prozessen in OPC unterzogen wurde, mit einem Satz von Maskenerstellungsregeln, die bestimmte geometrische und/oder Konnektivitätsbeschränkungen umfassen, um ausreichende Abstände sicherzustellen, um Schwankungen bei Halbleiterherstellungsprozessen und dergleichen zu berücksichtigen. In einigen Ausführungsformen modifiziert die MRC das IC-Design-Layout-Diagramm 1510, um Einschränkungen während der Maskenherstellung zu kompensieren, was einen Teil der von OPC durchgeführten Modifikationen rückgängig machen kann, um Maskenerstellungsregeln zu erfüllen.
  • In einigen Ausführungsformen umfasst die Maskendatenaufbereitung 1512 eine Lithographieprozessprüfung (LPC) (nicht gezeigt), die eine Verarbeitung simuliert, die von der IC-Fertigung 1506 implementiert wird, um die IC 1508 herzustellen. LPC simuliert diese Verarbeitung basierend auf dem IC-Design-Layout-Diagramm 1510, um eine simulierte hergestellte Vorrichtung wie den IC 1508 zu erzeugen. Die Verarbeitungsparameter in der LPC-Simulation können Parameter, die mit verschiedenen Prozessen des IC-Herstellungszyklus verknüpft sind, Parameter, die mit Werkzeugen verknüpft sind, die zum Herstellen des ICs verwendet werden, und/oder andere Aspekte des Herstellungsprozesses umfassen. LPC berücksichtigt verschiedene Faktoren, wie Kontrast des Luftbildes, Tiefenschärfe („DOF“), Maskenfehlerverstärkungsfaktor („MEEF“), andere geeignete Faktoren und dergleichen oder Kombinationen davon. In einigen Ausführungsformen werden OPC und/oder MRC wiederholt, um das IC-Design-Layout-Diagramm 1510 weiter zu verfeinern, nachdem eine simulierte hergestellte Vorrichtung durch LPC erstellt wurde und wenn die simulierte Vorrichtung nicht ausreichend nahe in der Form ist, um die Designregeln zu erfüllen.
  • Es versteht sich von selbst, dass die obige Beschreibung der Maskendatenaufbereitung 1512 aus Gründen der Klarheit vereinfacht wurde. In einigen Ausführungsformen umfasst die Maskendatenaufbereitung 1512 zusätzliche Funktionen wie eine logische Operation (LOP), um das IC-Design-Layout-Diagramm 1510 gemäß den Herstellungsregeln zu modifizieren. Außerdem können die während der Maskendatenaufbereitung 1512 auf das IC-Design-Layout-Diagramm 1510 angewendeten Prozesse in einer Vielzahl unterschiedlicher Reihenfolgen ausgeführt werden.
  • Nach der Maskendatenaufbereitung 1512 und während der Maskenherstellung 1514 wird eine Maske 1516 oder eine Gruppe von Masken 1516 basierend auf dem IC-Design-Layout-Diagramm 1510 hergestellt. In einigen Ausführungsformen umfasst die Maskenherstellung 1514 das Durchführen eines oder mehrerer lithographischer Belichtungen basierend auf dem IC-Design-Layout-Diagramm 1510. In einigen Ausführungsformen wird ein Elektronenstrahl (E-Strahl) oder ein Mechanismus von mehreren E-Strahlen verwendet, um eine Struktur auf einer Maske oder Masken 1516 (Fotomaske oder Retikel) basierend auf dem IC-Design-Layout-Diagramm 1510 zu bilden. Die Maske(n) 1516 kann/können in verschiedenen Technologien gebildet werden. Zum Beispiel wird/werden die Maske(n) 1516 in einigen Ausführungsformen unter Verwendung von Binärtechnologie gebildet. In einigen Ausführungsformen umfasst ein Maskenmuster opake Regionen und transparente Regionen. Ein Strahlungsstrahl, wie beispielsweise ein ultravioletter Strahl (UV-Strahl), der verwendet wird, um die bildempfindliche Materialschicht (z. B. Photoresist), die auf einem Wafer aufgetragen wurde, zu belichten, wird durch den undurchsichtigen Bereich blockiert und geht durch die transparenten Bereiche hindurch. In einem Beispiel umfasst eine binäre Maskenversion der Maske(n) 1516 ein transparentes Substrat (z. B. Quarzglas) und ein opakes Material (z. B. Chrom), das in den opaken Bereichen der binären Maske beschichtet wird.
  • In einem anderen Beispiel wird/werden die Maske(n) 1516 unter Verwendung einer Phasenverschiebungstechnologie gebildet. In einer Phasenverschiebungsmaskenversion (PSM-Version) der Maske(n) 1516 sind verschiedene Merkmale in der auf der Phasenverschiebungsmaske gebildeten Struktur so konfiguriert, dass sie eine geeignete Phasendifferenz aufweisen, um die Auflösung und die Abbildungsqualität zu verbessern. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine abgeschwächte PSM oder eine alternierende PSM sein. Die durch die Maskenherstellung 1514 erzeugte(n) Maske(n) 1516 wird/werden in einer Vielzahl von Prozessen verwendet. Beispielsweise wird/werden eine/die Maske(n) 1516 in einem Ionenimplantationsprozess, um verschiedene dotierte Bereiche in dem Halbleiterwafer auszubilden, in einem Ätzprozess, um verschiedene Ätzbereiche in dem Halbleiterwafer auszubilden, und/oder in anderen geeigneten Prozessen verwendet.
  • Die IC-Fertigung 1506 umfasst die Waferherstellung 1518. Die IC-Fertigung 1506 ist eine IC-Fertigungsindustrie, die eine oder mehrere Fertigungsanlagen zur Herstellung einer Vielzahl verschiedener IC-Produkte umfasst. In einigen Ausführungsformen ist die IC-Fertigung 1506 eine Halbleitergießerei. Beispielsweise kann es eine Fertigungsanlage für die Front-End-Fertigung einer Vielzahl von IC-Produkten geben (FEOL-Fertigung), während eine zweite Fertigungsanlage die Back-End-Fertigung für die Verbindung und Verpackung der IC-Produkte bereitstellen kann (BEOL-Fertigung), und eine dritte Produktionsstätte kann andere Dienstleistungen für das Gießereigeschäft erbringen.
  • Die IC-Fertigung 1506 verwendet die vom Maskenhaus 1504 hergestellte(n) Maske(n) 1516, um die IC 1508 herzustellen. Somit verwendet die IC-Fertigung 1506 wenigstens indirekt das IC-Design-Layout-Diagramm 1510, um die IC 1508 herzustellen. In einigen Ausführungsformen wird ein Halbleiterwafer 1520 von der IC-Fertigung 1506 unter Verwendung der Maske(n) 1516 hergestellt, um die IC 1508 zu bilden. In einigen Ausführungsformen umfasst die IC-Fertigung 1506 das Durchführen einer oder mehrerer lithographischer Belichtungen basierend zumindest indirekt auf dem IC-Design-Layout-Diagramm 1510. Der Halbleiterwafer 1520 umfasst ein Siliziumsubstrat oder ein anderes geeignetes Substrat mit darauf gebildeten Materialschichten. Der Halbleiterwafer 1520 weist ferner einen oder mehrere von verschiedenen dotierten Bereichen, dielektrischen Merkmalen, Mehrebenen-Interconnect-Strukturen und dergleichen (die bei nachfolgenden Herstellungsschritten gebildet werden) auf.
  • In einem Aspekt umfasst eine 3D-integrierte Schaltungsstruktur eine erste Die-Schicht und eine zweite Die-Schicht, die vertikal über der ersten Die-Schicht angeordnet ist. Die erste Die-Schicht umfasst eine oder mehrere erste Vorrichtungen und VIS, die in der ersten Die-Schicht gebildet und um die eine oder die mehreren ersten Vorrichtungen herum in einem ersten Gitter-Layout angeordnet sind. Die zweite Die-Schicht umfasst mehrere zweite Vorrichtungen und mehrere VIS, die in der zweiten Die-Schicht gebildet und um die zweiten Vorrichtungen herum in einem zweiten Gitter-Layout angeordnet sind. Das erste Gitter-Layout unterscheidet sich vom zweiten Gitter-Layout. Eine Dichte der VIS in der zweiten Die-Schicht ist größer als eine Dichte der VIS in der ersten Die-Schicht.
  • In einem weiteren Aspekt umfasst ein System eine 3D-integrierte Schaltungsstruktur und eine Stromversorgung, die betriebsfähig mit der 3D-integrierten Schaltungsstruktur verbunden ist. Die 3D-integrierte Schaltungsstruktur umfasst eine erste Die-Schicht und eine zweite Die-Schicht. Die erste Die-Schicht umfasst Vorrichtungen und vertikale Interconnect-Strukturen (VIS), die in der ersten Die-Schicht gebildet und in einem ersten Gitter-Layout angeordnet sind. Die zweite Die-Schicht ist vertikal an der ersten Die-Schicht befestigt und umfasst Vorrichtungen und VIS, die in der zweiten Die-Schicht gebildet und in einem zweiten Gitter-Layout angeordnet sind. Die Anordnung der VIS im ersten Gitter-Layout unterscheidet sich von der Anordnung der VIS im zweiten Gitter-Layout. Eine erste Dichte der VIS in der ersten Die-Schicht unterscheidet sich von einer zweiten Dichte der VIS in der zweiten Die-Schicht.
  • In noch einem weiteren Aspekt umfasst ein Verfahren zum Herstellen einer 3D-integrierten Schaltungsstruktur das Bearbeiten einer ersten Die-Schicht, um eine Vorrichtung und eine erste Vielzahl von vertikalen Interconnect-Strukturen (VIS) herzustellen, die in einem ersten Gitter-Layout auf der ersten Die-Schicht angeordnet sind. Auf der ersten Die-Schicht wird eine leitfähige Zwischenschicht bearbeitet. Eine zweite Die-Schicht wird bearbeitet, um eine Vielzahl von Vorrichtungen und eine zweite Vielzahl von VIS herzustellen, die in einem zweiten Gitter-Layout auf der zweiten Die-Schicht angeordnet sind. Die Signalleitung in der leitenden Zwischenschicht verbindet elektrisch ein jeweiliges VIS in der ersten Vielzahl von VIS mit einem jeweiligen VIS in der zweiten Vielzahl von VIS. Das erste Gitter-Layout auf der ersten Die-Schicht unterscheidet sich von dem zweiten Gitter-Layout auf der zweiten Die-Schicht.
  • Das Vorhergehende skizziert Merkmale mehrerer Ausführungsformen, damit Fachleute auf diesem Gebiet der Technik die Aspekte der vorliegenden Offenbarung besser verstehen können. Die Fachleute auf diesem Gebiet der Technik sollten erkennen, dass sie die vorliegende Offenbarung ohne weiteres als Grundlage zum Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden können, um die gleichen Zwecke auszuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Die Fachleute auf diesem Gebiet der Technik sollten auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Änderungen hierin vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63168462 [0001]

Claims (20)

  1. 3D-integrierte Schaltungsstruktur, umfassend: eine erste Die-Schicht, umfassend: eine oder mehrere erste Vorrichtungen; und eine erste Vielzahl von vertikalen Interconnect-Strukturen (VIS), die in der ersten Die-Schicht gebildet und um die eine oder die mehreren ersten Vorrichtungen herum in einem ersten Gitter-Layout angeordnet sind; und eine zweite Die-Schicht, die vertikal über der ersten Die-Schicht angeordnet ist und umfasst: eine Vielzahl von zweiten Vorrichtungen; eine zweite Vielzahl von VIS, die in der zweiten Die-Schicht gebildet und um die Vielzahl von zweiten Vorrichtungen herum in einem zweiten Gitter-Layout angeordnet sind, wobei: das erste Gitter-Layout sich vom zweiten Gitter-Layout unterscheidet; und eine Dichte der zweiten Vielzahl von VIS größer ist als eine Dichte der ersten Vielzahl von VIS.
  2. 3D-integrierte Schaltungsstruktur nach Anspruch 1, wobei eine erste Teilung der VIS in der ersten Vielzahl von VIS sich von einer zweiten Teilung der VIS in der zweiten Vielzahl von VIS unterscheidet.
  3. 3D-integrierte Schaltungsstruktur nach Anspruch 1, wobei jede Vorrichtung in der einen oder den mehreren ersten Vorrichtungen und in der Vielzahl von zweiten Vorrichtungen eine von einer Speichervorrichtung, einer Logikschaltung, einer Eingabe-/Ausgabevorrichtung, einem Sensor, einer HF-Schaltung, einer analogen Schaltung, einem Analog-Digital-Umsetzer oder einer Recheneinheit umfasst.
  4. 3D-integrierte Schaltungsstruktur nach Anspruch 1, wobei wenigstens ein VIS in der ersten Vielzahl von VIS konfiguriert ist, um ein Leistungssignal zu übertragen.
  5. 3D-integrierte Schaltung nach Anspruch 1, wobei wenigstens ein VIS in der ersten Vielzahl von VIS konfiguriert ist, um ein Datensignal zu übertragen.
  6. 3D-integrierte Schaltung nach Anspruch 1, wobei sich ein erster Durchmesser jedes VIS in der ersten Vielzahl von VIS von einem zweiten Durchmesser jedes VIS in der zweiten Vielzahl von VIS unterscheidet.
  7. 3D-integrierte Schaltung nach Anspruch 1, die ferner eine leitende Zwischenschicht umfasst, die zwischen der ersten und der zweiten Die-Schicht angeordnet ist und konfiguriert ist, um ein Leistungssignal zwischen der ersten Die-Schicht und der zweiten Die-Schicht zu übertragen.
  8. 3D-integrierte Schaltungsstruktur nach Anspruch 1, wobei die 3D-integrierte Schaltungsstruktur eine heterogene 3D-integrierte Schaltungsstruktur ist.
  9. System, umfassend: eine 3D-integrierte Schaltungsstruktur; und eine Stromversorgung, die betriebsfähig mit der 3D-integrierten Schaltungsstruktur verbunden ist, wobei die 3D-integrierte Schaltungsstruktur umfasst: eine erste Die-Schicht, umfassend: eine erste Vielzahl von Vorrichtungen; und eine erste Vielzahl von vertikalen Interconnect-Strukturen (VIS), die in der ersten Die-Schicht gebildet und in einem ersten Gitter-Layout angeordnet sind; eine zweite Die-Schicht, die vertikal über der ersten Die-Schicht angeordnet ist, wobei die zweite Die-Schicht umfasst: eine zweite Vielzahl von Vorrichtungen; und eine zweite Vielzahl von VIS, die in der zweiten Die-Schicht gebildet und in einem zweiten Gitter-Layout angeordnet sind, wobei: die Anordnung der ersten Vielzahl von VIS in dem ersten Gitter-Layout sich von der Anordnung der zweiten Vielzahl von VIS in dem zweiten Gitter-Layout unterscheidet; und eine erste Dichte der ersten Vielzahl von VIS sich von einer zweiten Dichte der zweiten Vielzahl von VIS unterscheidet.
  10. System nach Anspruch 9, wobei sich eine erste Teilung der VIS in der ersten Vielzahl von VIS von einer zweiten Teilung der VIS in der zweiten Vielzahl von VIS unterscheidet.
  11. System nach Anspruch 9, wobei sich ein erster Durchmesser der VIS in der ersten Vielzahl von VIS von einem zweiten Durchmesser der VIS in der zweiten Vielzahl von VIS unterscheidet.
  12. System nach Anspruch 9, wobei die 3D-integrierte Schaltungsstruktur eine homogene 3D-integrierte Schaltungsstruktur ist.
  13. System nach Anspruch 9, wobei: wenigstens eine erste Vorrichtung aus der ersten Vielzahl von ersten Vorrichtungen eine Recheneinheit umfasst; und wenigstens eine zweite Vorrichtung aus der zweiten Vielzahl von zweiten Vorrichtungen eine Speichervorrichtung umfasst.
  14. System nach Anspruch 13, wobei wenigstens eine zweite Vorrichtung in der zweiten Vielzahl von zweiten Vorrichtungen eine von einer Logikschaltung, einer Eingabe-/Ausgabevorrichtung, einer HF-Schaltung, einer Analogschaltung oder einem Analog-Digital-Umsetzer umfasst.
  15. System nach Anspruch 9, wobei: die Stromversorgung betriebsfähig mit der ersten Die-Schicht verbunden ist; und die erste Dichte größer ist als die zweite Dichte.
  16. System nach Anspruch 9, wobei: die Stromversorgung betriebsfähig mit der zweiten Die-Schicht verbunden ist; und die zweite Dichte größer ist als die erste Dichte.
  17. System nach Anspruch 9, ferner umfassend eine Zwischen-Die-Schicht, die zwischen der ersten Die-Schicht und der zweiten Die-Schicht positioniert und an der ersten Die-Schicht und der zweiten Die-Schicht befestigt ist, wobei die Zwischen-Die-Schicht umfasst: eine dritte Vielzahl von dritten Vorrichtungen; und eine dritte Vielzahl von VIS, wobei eine dritte Dichte der VIS in der dritten Vielzahl von dritten Vorrichtungen geringer ist als die zweite Dichte und größer ist als die erste Dichte.
  18. System nach Anspruch 9, das ferner eine leitende Zwischenschicht umfasst, die zwischen der ersten und der zweiten Die-Schicht angeordnet ist, wobei die leitende Zwischenschicht eine Signalleitung umfasst, die konfiguriert ist, um ein Leistungssignal zwischen einer jeweiligen VIS in der ersten Vielzahl von VIS in der ersten Die-Schicht zu einer entsprechenden aktiven VIS in der zweiten Vielzahl von VIS in der zweiten Die-Schicht zu übertragen.
  19. Verfahren zum Herstellen einer 3D-integrierten Schaltungsstruktur, wobei das Verfahren umfasst: Bearbeiten einer ersten Die-Schicht, um eine Vorrichtung und eine erste Vielzahl von vertikalen Interconnect-Strukturen (VIS) herzustellen, die in einem ersten Gitter-Layout auf der ersten Die-Schicht angeordnet sind; Bearbeiten einer leitenden Zwischenschicht über der ersten Die-Schicht, um eine Signalleitung zu erzeugen; Bearbeiten einer zweiten Die-Schicht, um eine Vielzahl von Vorrichtungen und eine zweite Vielzahl von VIS herzustellen, die in einem zweiten Gitter-Layout auf der zweiten Die-Schicht angeordnet sind; und Anbringen der zweiten Die-Schicht an der ersten Die-Schicht, wobei: die Anordnung der ersten Vielzahl von VIS im ersten Gitter-Layout sich von der Anordnung der zweiten Vielzahl von VIS in dem zweiten Gitter-Layout unterscheidet; und die Signalleitung eine jeweilige VIS in der ersten Vielzahl von VIS elektrisch mit einer jeweiligen VIS in der zweiten Vielzahl von VIS verbindet.
  20. Verfahren nach Anspruch 19, wobei: die Vorrichtung auf der ersten Die-Schicht eine Recheneinheit ist; und jede Vorrichtung in der zweiten Vielzahl von Vorrichtungen eine aus einer Speichervorrichtung, einer Logikschaltung, einer Eingabe-/Ausgabevorrichtung, einem Sensor, einer HF-Schaltung, einer analogen Schaltung oder einen Analog-Digital-Umsetzer umfasst.
DE102022100498.8A 2021-03-31 2022-01-11 Vertikale interconnect-strukturen in dreidimensional integrierten schaltkreise Pending DE102022100498A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163168462P 2021-03-31 2021-03-31
US63/168,462 2021-03-31
US17/538,029 US11978723B2 (en) 2021-03-31 2021-11-30 Vertical interconnect structures in three-dimensional integrated circuits
US17/538,029 2021-11-30

Publications (1)

Publication Number Publication Date
DE102022100498A1 true DE102022100498A1 (de) 2022-10-06

Family

ID=82460354

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022100498.8A Pending DE102022100498A1 (de) 2021-03-31 2022-01-11 Vertikale interconnect-strukturen in dreidimensional integrierten schaltkreise

Country Status (5)

Country Link
US (1) US11978723B2 (de)
KR (1) KR20220136083A (de)
CN (1) CN114792676A (de)
DE (1) DE102022100498A1 (de)
TW (1) TWI810802B (de)

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8900921B2 (en) * 2008-12-11 2014-12-02 Stats Chippac, Ltd. Semiconductor device and method of forming topside and bottom-side interconnect structures around core die with TSV
US9082806B2 (en) * 2008-12-12 2015-07-14 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
KR101458977B1 (ko) 2012-12-27 2014-11-10 한양대학교 산학협력단 3차원 전력 공급 네트워크에서 파워 패턴을 이용한 전력 관통전극 및 전력 범프의 개수를 최소화하는 방법
TWI607510B (zh) * 2012-12-28 2017-12-01 半導體能源研究所股份有限公司 半導體裝置及半導體裝置的製造方法
US20140252632A1 (en) 2013-03-06 2014-09-11 Hans-Joachim Barth Semiconductor devices
US9666562B2 (en) * 2015-01-15 2017-05-30 Qualcomm Incorporated 3D integrated circuit
US10224310B2 (en) * 2015-10-29 2019-03-05 Qualcomm Incorporated Hybrid three-dimensional integrated circuit reconfigurable thermal aware and dynamic power gating interconnect architecture
JP6779825B2 (ja) 2017-03-30 2020-11-04 キヤノン株式会社 半導体装置および機器
US11211333B2 (en) * 2018-07-16 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via optimization for three-dimensional integrated circuits
US11804479B2 (en) * 2019-09-27 2023-10-31 Advanced Micro Devices, Inc. Scheme for enabling die reuse in 3D stacked products
CN112530863B (zh) * 2019-10-12 2023-10-10 长江存储科技有限责任公司 用于裸片对裸片进行键合的方法和结构
US20220375865A1 (en) * 2021-05-18 2022-11-24 Intel Corporation Microelectronic assemblies with glass substrates and magnetic core inductors
US20220406751A1 (en) * 2021-06-22 2022-12-22 Intel Corporation Quasi-monolithic hierarchical integration architecture
US20230034737A1 (en) * 2021-07-30 2023-02-02 Intel Corporation Composite ic die package including ic die directly bonded to front and back sides of an interposer
US20230069031A1 (en) * 2021-08-26 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Package and Method
US20230088170A1 (en) * 2021-09-21 2023-03-23 Intel Corporation Microelectronic assemblies including solder and non-solder interconnects
US20230085944A1 (en) * 2021-09-23 2023-03-23 Intel Corporation Core patch with matched pth to fli pitch for z-disaggregation
US20230144206A1 (en) * 2021-11-10 2023-05-11 Intel Corporation Packaging architectures for sub-terahertz radio frequency devices
US20230170327A1 (en) * 2021-11-30 2023-06-01 Intel Corporation Integrated packaging architecture with solder and non-solder interconnects

Also Published As

Publication number Publication date
CN114792676A (zh) 2022-07-26
US11978723B2 (en) 2024-05-07
US20220328455A1 (en) 2022-10-13
KR20220136083A (ko) 2022-10-07
TWI810802B (zh) 2023-08-01
TW202240778A (zh) 2022-10-16

Similar Documents

Publication Publication Date Title
DE102019116744B4 (de) Verfahren zum erzeugen eines layoutdiagramms, das hervorstehende pin-zellengebiete aufweist, und eine darauf basierende halbleitervorrichtung
DE102019128996A1 (de) Integriertes schaltungsfinnenbelegungsverfahren, system und struktur
DE102017110226A1 (de) Füller für integrierte Schaltungen und Verfahren davon
DE102017125395A1 (de) Zellstrunkturen und Halbleitervorrichtungen damit
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102019115533A1 (de) Zellregionen mit der höhe einer ungeraden finnenanzahl, halbleiter, der diese aufweist und verfahren zum erzeugen eines layoutdiagramms, das diesen entspricht
DE102019123621B4 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102019128571B4 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102019116733A1 (de) Verfahren, vorrichtung und system eines integrierten schaltungslayouts
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102023100725A1 (de) Ic-logikbauteil, layout, system und verfahren
DE102019125461A1 (de) Halbleiterstruktur, vorrichtung und verfahren
DE102021100870B4 (de) Hybridschicht-layout, -verfahren, -system und -struktur
DE102021119369B4 (de) Pegelverschiebungsschaltkreis und verfahren
DE102022100498A1 (de) Vertikale interconnect-strukturen in dreidimensional integrierten schaltkreise
DE102020127331B4 (de) Integrierte Schaltung und Verfahren zur Herstellung einer integrierten Schaltung
DE102022100037A1 (de) Gebondete halbleitervorrichtung und deren herstellungsverfahren
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102020109326A1 (de) Ic-vorrichtung, verfahren, layout und system
DE102022100492A1 (de) Vertikale interconnect-strukturen mit integrierten schaltkreisen
DE102021107795A1 (de) Speichermakro mit silizium-durchkontaktierung
DE102018107077A1 (de) Zusammengeführte Säulenstrukturen und Verfahren zum Erzeugen von Layoutdiagrammen davon

Legal Events

Date Code Title Description
R012 Request for examination validly filed