DE102021100840B4 - Finfet-vorrichtung und verfahren - Google Patents

Finfet-vorrichtung und verfahren Download PDF

Info

Publication number
DE102021100840B4
DE102021100840B4 DE102021100840.9A DE102021100840A DE102021100840B4 DE 102021100840 B4 DE102021100840 B4 DE 102021100840B4 DE 102021100840 A DE102021100840 A DE 102021100840A DE 102021100840 B4 DE102021100840 B4 DE 102021100840B4
Authority
DE
Germany
Prior art keywords
dielectric layer
layer
source
over
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102021100840.9A
Other languages
English (en)
Other versions
DE102021100840A1 (de
Inventor
Tsai-Jung Ho
Tze-Liang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021100840A1 publication Critical patent/DE102021100840A1/de
Application granted granted Critical
Publication of DE102021100840B4 publication Critical patent/DE102021100840B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Vorrichtung mit:einer Finne (52), die sich von einem Halbleitersubstrat (50) erstreckt;einem Gatestapel (92, 94) über der Finne (52);einem Abstandshalter (86) auf einer Seitenwand des Gatestapels (92, 94);(86);einem Source-/Drainbereich (82) in der Finne (52) benachbart zu dem Abstandshaltereiner Zwischenschichtdielektrikum-Schicht ILD-Schicht (108), die sich über dem Gatestapel (92, 94), dem Abstandshalter (86) und dem Source-/Drainbereich (82) erstreckt;einem Kontaktstift (118), der sich durch die ILD-Schicht (108) erstreckt und den Source-/Drainbereich (82) kontaktiert;einer dielektrischen Schicht (122), die einen ersten Teil auf einer Oberseite der ILD-Schicht (108) und einen zweiten Teil (123), der sich zwischen der ILD-Schicht (108) und dem Kontaktstift (118) erstreckt, umfasst, wobei eine Oberseite des zweiten Teils näher an dem Substrat (50) als die Oberseite der ILD-Schicht (108) ist; undeinem Luftspalt (120) zwischen dem Abstandshalter (86) und dem Kontaktstift (118), wobei der zweite Teil (123) der dielektrischen Schicht (122) die Oberseite des Luftspalts (120) abdichtet; undeinem leitfähigen Material (136), das sich auf der ILD-Schicht (108), dem zweiten Teil (123) und dem Kontaktstift (118) erstreckt, wobei der erste Teil durch das leitfähige Material (136) von dem zweiten Teil (123) der dielektrischen Schicht (122) getrennt ist.

Description

  • Hintergrund
  • Halbleitervorrichtungen kommen in verschiedenen elektronischen Anwendungsgebieten zum Einsatz, wie zum Beispiel Personal Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden normalerweise dadurch hergestellt, dass isolierende oder dielektrische Materialschichten, leitfähige Materialschichten und Halbleitermaterialschichten nacheinander über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten durch Lithografie strukturiert werden, um Schaltkreiskomponenten und -elemente auf dem Substrat herzustellen.
  • Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) immer weiter, indem sie die kleinste Strukturbreite ständig reduziert, sodass mehr Komponenten auf einer gegebenen Fläche integriert werden können. Wenn die kleinste Strukturbreite reduziert wird, entstehen jedoch weitere Probleme, die angegangen werden sollten. Die Druckschrift DE 10 2020 110 754 A1 offenbart eine Vorrichtung mit einer Gateelektrode, einer Source/Drain-Region, einer ILD-Schicht über der Source/Drain-Region und einem ersten Source/Drain-Kontakt, der sich durch die ILD-Schicht erstreckt, wobei ein Hohlraum zwischen einem Kontaktabstandhalter, der den ersten Source/Drain-Kontakt umgibt, und der ILD-Schicht angeordnet ist. Die Druckschrift US 2020 / 0 105 867 A1 offenbart ein Verfahren zum Bilden einer Vorrichtung mit einem Substrat, einem Source/Drain-Merkmal auf dem Substrat, einem Gate-Stapel auf dem Substrat, einem Kontaktloch über dem S/D-Merkmal; und ein Dummy-Merkmal über dem S/D-Merkmal, wobei das Verfahren das Bilden eines Kontakts in dem Kontaktloch und das Bilden eines Luftspalts, der sich höher als eine obere Oberfläche des Gatestapels erstreckt, umfasst. Die Druckschrift US 2020 / 0 411 415 A1 offenbart die Verwendung einer Luftspaltdichtung bei der Herstellung von Verbindungen, die eine verringerte Kapazität und einen geringeren Widerstand ermöglichen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 zeigt ein Beispiel für einen FinFET in einer dreidimensionalen Darstellung gemäß einigen Ausführungsformen.
    • Die 2, 3, 4, 5, 6, 7, 8A, 8B, 9A, 9B, 10A, 10B, 10C, 10D, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 14C, 15A und 15B sind Schnittansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen.
    • Die 16, 17, 18, 19, 20, 21, 22, 23A, 23B, 24A, 24B, 25A, 25B, 26A, 26B, 27A, 27B und 28 sind Schnittansichten von Zwischenstufen bei der Herstellung von FinFETs mit Luftspalten gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können entsprechend interpretiert werden.
  • Gemäß einigen Ausführungsformen werden Luftspalte so erzeugt, dass sie Kontakte mit Source-/Drain-Epitaxiebereichen einer FinFET-Vorrichtung umschließen. Auf Grund der niedrigen Dielektrizitätskonstante (k-Wert) der Luftspalte kann eine Kapazität zwischen einem Gatestapel und den Kontakten der FinFET-Vorrichtung reduziert werden, sodass ein Betrieb des FinFET bei höheren Geschwindigkeiten (z. B. „AC“) verbessert werden kann. Bei einigen Ausführungsformen wird ein Abscheidungsprozess für eine höherliegende Ätzstoppschicht so gesteuert, dass sich Teile der Ätzstoppschicht in die Luftspalte erstrecken und obere Bereiche der Luftspalte abdichten. Zum Beispiel kann durch Verwenden von niedrigeren Vorläuferdosen während eines ALD-Prozesses das Material der Ätzstoppschicht in den oberen Bereichen der Luftspalte aufgewachsen werden und kann die unteren Bereiche der Luftspalte abdichten. Die Tiefe, mit der sich die Ätzstoppschicht in die Luftspalte erstreckt, kann bei einigen Ausführungsformen durch Kontrollieren der Dosis gesteuert werden. Durch Abdichten der Luftspalte wird die Gefahr, dass später abgeschiedenes leitfähiges Material in die Luftspalte gelangt, verringert oder beseitigt. Dadurch wird die Gefahr von Leckverlusten oder elektrischen Kurzschlüssen auf Grund des Vorhandenseins von leitfähigem Material in den Luftspalten verringert oder beseitigt.
  • 1 zeigt ein Beispiel für einen FinFET in einer dreidimensionalen Darstellung gemäß einigen Ausführungsformen. Der FinFET weist eine Finne 52 auf einem Substrat 50 (z. B. einem Halbleitersubstrat) auf. In dem Substrat 50 sind Isolationsbereiche 56 angeordnet, wobei die Finne 52 über benachbarte Isolationsbereiche 56 übersteht und zwischen ihnen herausragt. Die Isolationsbereiche 56 sind hier zwar als Bereiche dargestellt und beschrieben, die von dem Substrat 50 getrennt sind, aber der hier verwendete Begriff „Substrat“ kann auch zum Bezeichnen nur des Halbleitersubstrats oder eines Halbleitersubstrats mit Isolationsbereichen verwendet werden. Außerdem ist die Finne 52 zwar als ein einziges zusammenhängendes Material wie das Substrat 50 dargestellt, aber die Finne 52 und/oder das Substrat 50 können nur ein Material oder eine Mehrzahl von Materialien aufweisen. In diesem Zusammenhang bezeichnet die Finne 52 den Teil, der sich zwischen benachbarten Isolationsbereichen 56 erstreckt.
  • Eine dielektrische Gateschicht 92 ist entlang Seitenwänden und über einer Oberseite der Finne 52 angeordnet, und über der dielektrischen Gateschicht 92 ist eine Gateelektrode 94 angeordnet. Auf Seiten der Finne 52, die der dielektrischen Gateschicht 92 und der Gateelektrode 94 gegenüberliegen, sind Source-/Drainbereiche 82 angeordnet. 1 zeigt außerdem Referenzquerschnitte, die in späteren Figuren verwendet werden. Ein Querschnitt A - A verläuft entlang einer Längsachse der Gateelektrode 94 und zum Beispiel in einer Richtung, die senkrecht zu einer Richtung eines Stromflusses zwischen den Source-/Drainbereichen 82 des FinFET ist. Ein Querschnitt B - B ist senkrecht zu dem Querschnitt A - A und verläuft entlang einer Längsachse der Finne 52 und zum Beispiel in einer Richtung des Stromflusses zwischen den Source-/Drainbereichen 82 des FinFET. Ein Querschnitt C - C ist parallel zu dem Querschnitt A - A und verläuft durch einen Source-/Drainbereich des FinFET. Spätere Figuren beziehen sich der Übersichtlichkeit halber auf diese Referenzquerschnitte.
  • Einige Ausführungsformen, die hier erörtert werden, werden in Zusammenhang mit FinFETs erörtert, die mit einem Gate-Last-Prozess hergestellt werden. Bei anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Außerdem werden bei einigen Ausführungsformen Aspekte in Betracht gezogen, die bei planaren Vorrichtungen, wie etwa planaren FETs, verwendet werden.
  • Die 2 bis 28 sind Schnittansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen. In den 2 bis 7 ist der in 1 gezeigte Referenzquerschnitt A - A dargestellt, mit der Ausnahme, dass mehrere Finnen/FinFETs verwendet werden. Die 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A, 24A, 25A, 26A und 27A sind entlang dem in 1 gezeigten Referenzquerschnitt A - A dargestellt, und die 8B, 9B, 10B, 11B, 12B, 13B, 14B, 14C, 15B, 16, 17, 18, 19, 20, 21, 22, 23A, 23B, 24B, 25B, 26B, 27B und 28 sind entlang einem ähnlichen Referenzquerschnitt B - B dargestellt, der in 1 gezeigt ist, mit der Ausnahme, dass mehrere Finnen/FinFETs verwendet werden. Die 10C und 10D sind entlang dem in 1 gezeigten Referenzquerschnitt C - C dargestellt, mit der Ausnahme, dass mehrere Finnen/FinFETs verwendet werden.
  • In 2 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat, wie etwa ein massives Halbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder dergleichen sein, das dotiert (z. B. mit einem p- oder einem n-Dotanden) oder undotiert sein kann. Das Substrat 50 kann ein Wafer, wie etwa ein Siliziumwafer, sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolierschicht hergestellt ist. Die Isolierschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird auf einem Substrat hergestellt, normalerweise einem Silizium- oder Glassubstrat. Andere Substrate, wie etwa mehrschichtige oder Gradient-Substrate, können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Folgendes umfassen: Silizium; Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa Siliziumgermanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid.
  • Das Substrat 50 weist einen Bereich 50N und einen Bereich 50P auf. Der Bereich 50N kann zum Herstellen von n-Vorrichtungen, wie etwa NMOS-Transistoren, z. B. n-FinFETs, verwendet werden. Der Bereich 50P kann zum Herstellen von p-Vorrichtungen, wie etwa PMOS-Transistoren, z. B. p-FinFETs, verwendet werden. Der Bereich 50N kann von dem Bereich 50P physisch getrennt sein (was durch einen Teiler 51 dargestellt ist), und zwischen dem Bereich 50N und dem Bereich 50P können beliebig viele Vorrichtungsstrukturen (z. B. andere aktive Vorrichtungen, dotierte Bereiche, Isolationsstrukturen usw.) angeordnet sein.
  • In 3 werden Finnen 52 in dem Substrat 50 hergestellt. Die Finnen 52 sind Halbleiterstreifen. Bei einigen Ausführungsformen können die Finnen 52 durch Ätzen von Gräben in dem Substrat 50 hergestellt werden. Die Ätzung kann mit jedem geeigneten Ätzverfahren durchgeführt werden, wie etwa reaktive Ionenätzung (RIE), Neutralstrahlätzung (NBE) oder dergleichen oder einer Kombination davon. Die Ätzung kann anisotrop sein.
  • Die Finnen können mit jedem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen mit einem oder mehreren Fotolithografieprozessen, wie etwa Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Rasterabstände haben, die kleiner als die sind, die andernfalls mit einem einzelnen direkten Fotolithografieprozess erzielt werden können. Zum Beispiel wird bei einer Ausführungsform über einem Substrat eine Opferschicht hergestellt, die dann mit einem Fotolithografieprozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Anschließend wird die Opferschicht entfernt, und die verbliebenen Abstandshalter können dann zum Strukturieren der Finnen verwendet werden. Bei einigen Ausführungsformen kann die Maske (oder andere Schicht) auf den Finnen 52 verbleiben.
  • In 4 wird ein Isoliermaterial 54 über dem Substrat 50 und zwischen benachbarten Finnen 52 abgeschieden. Das Isoliermaterial 54 kann ein Oxid, wie etwa Siliziumoxid, ein Nitrid oder dergleichen oder eine Kombination davon sein und kann durch chemische Aufdampfung mit einem Plasma hoher Dichte (HDP-CVD), fließfähige CVD (FCVD) (z. B. eine Materialabscheidung auf CVD-Basis in einem Remote-Plasma-System und Nachhärten zum Umwandeln in ein anderes Material, wie etwa ein Oxid) oder dergleichen oder eine Kombination davon abgeschieden werden. Es können auch andere Isoliermaterialien verwendet werden, die mit einem geeigneten Verfahren abgeschieden werden. Bei der dargestellten Ausführungsform ist das Isoliermaterial 54 Siliziumoxid, das mit einem FCVD-Prozess abgeschieden wird. Nachdem das Isoliermaterial 54 abgeschieden worden ist, kann ein Temperprozess durchgeführt werden. Bei einer Ausführungsform wird das Isoliermaterial 54 so abgeschieden, dass überschüssiges Isoliermaterial 54 die Finnen 52 bedeckt. Obwohl das Isoliermaterial 54 als nur eine Schicht dargestellt ist, können bei einigen Ausführungsformen mehrere Schichten verwendet werden. Zum Beispiel kann bei einigen Ausführungsformen zunächst ein konformer Belag (nicht dargestellt) entlang einer Oberfläche des Substrats 50 und der Finnen 52 hergestellt werden. Anschließend kann ein Füllmaterial, wie etwa eines der vorgenannten, über dem Belag abgeschieden werden.
  • In 5 wird ein Entfernungsprozess an dem Isoliermaterial 54 durchgeführt, um überschüssiges Isoliermaterial 54 über den Finnen 52 zu entfernen. Bei einigen Ausführungsformen kann ein Planarisierungsprozess, wie etwa eine chemisch-mechanische Polierung (CMP), ein Rückätzprozess, eine Kombination davon oder dergleichen, verwendet werden. Durch den Planarisierungsprozess werden die Finnen 52 freigelegt, sodass Oberseiten der Finnen 52 und des Isoliermaterials 54 nach Beendigung des Planarisierungsprozesses auf gleicher Höhe sind. Bei Ausführungsformen, bei denen die Maske auf den Finnen 52 verbleibt, kann durch den Planarisierungsprozess die Maske freigelegt werden oder entfernt werden, sodass Oberseiten der Maske bzw. der Finnen 52 und des Isoliermaterials 54 nach Beendigung des Planarisierungsprozesses auf gleicher Höhe sind.
  • In 6 wird das Isoliermaterial 54 ausgespart, um STI-Bereiche 56 (STI: flache Grabenisolation) zu erzeugen. Das Isoliermaterial 54 wird so ausgespart, dass obere Teile der Finnen 52 in dem Bereich 50N und dem Bereich 502p zwischen benachbarten STI-Bereichen 56 herausragen. Außerdem können Oberseiten der STI-Bereiche 56 eine ebene Oberfläche (wie gezeigt), eine konvexe Oberfläche, eine konkave Oberfläche (wie etwa Dishing) oder eine Kombination davon haben. Die Oberseiten der STI-Bereiche 56 können mit einer geeigneten Ätzung eben, konvex und/oder konkav hergestellt werden. Die STI-Bereiche 56 können mit einem geeigneten Ätzprozess ausgespart werden, wie etwa einem, der für das Isoliermaterial 54 selektiv ist (der z. B. das Isoliermaterial 54 mit einer höheren Geschwindigkeit als das Material der Finnen 52 ätzt). Zum Beispiel kann eine Oxidentfernung z. B. unter Verwendung von verdünnter Fluorwasserstoffsäure (dHF-Säure) verwendet werden.
  • Das Verfahren, das unter Bezugnahme auf die 2 bis 6 beschrieben worden ist, ist nur ein Beispiel dafür, wie die Finnen 52 hergestellt werden können. Bei einigen Ausführungsformen können die Finnen 52 mit einem epitaxialen Aufwachsprozess hergestellt werden. Zum Beispiel kann eine dielektrische Schicht über einer Oberseite des Substrats 50 hergestellt werden, und durch die dielektrische Schicht können Gräben geätzt werden, um das darunter befindliche Substrat 50 freizulegen. In den Gräben können homoepitaxiale Strukturen epitaxial aufgewachsen werden, und die dielektrische Schicht kann so ausgespart werden, dass die homoepitaxialen Strukturen aus der dielektrischen Schicht herausragen, um Finnen zu bilden. Außerdem können bei einigen Ausführungsformen heteroepitaxiale Strukturen für die Finnen 52 verwendet werden. Zum Beispiel können die Finnen 52 in 5 ausgespart werden, und ein Material, das von dem der Finnen 52 verschieden ist, kann epitaxial über den ausgesparten Finnen 52 aufgewachsen werden. Bei diesen Ausführungsformen weisen die Finnen 52 das ausgesparte Material sowie das epitaxial aufgewachsene Material auf, das über dem ausgesparten Material angeordnet ist. Bei einer noch weiteren Ausführungsform kann eine dielektrische Schicht über der Oberseite des Substrats 50 hergestellt werden, und Gräben können durch die dielektrische Schicht geätzt werden. Dann können heteroepitaxiale Strukturen unter Verwendung eines Materials, das von dem des Substrats 50 verschieden ist, epitaxial in den Gräben aufgewachsen werden, und die dielektrische Schicht kann so ausgespart werden, dass die heteroepitaxialen Strukturen aus der dielektrischen Schicht herausragen, um die Finnen 52 zu bilden. Bei einigen Ausführungsformen, bei denen homoepitaxiale oder heteroepitaxiale Strukturen epitaxial aufgewachsen werden, können die epitaxial aufgewachsenen Materialien in situ während des Aufwachsens dotiert werden, sodass vorherige und nachfolgende Implantationen entfallen können, aber In-situ- und Implantationsdotierung können auch gemeinsam verwendet werden.
  • Darüber hinaus kann es vorteilhaft sein, ein Material in einem Bereich 50N (z. B. einem NMOS-Bereich) epitaxial aufzuwachsen, das von einem Material in einem Bereich 50P (z. B. einem PMOS-Bereich) verschieden ist. Bei verschiedenen Ausführungsformen können obere Teile der Finnen 52 aus Siliziumgermanium (SixGe1-x, wobei x 0 bis 1 sein kann), Siliziumcarbid, reinem oder im Wesentlichen reinem Germanium, einem III-V-Verbindungshalbleiter, einem II-VI-Verbindungshalbleiter oder dergleichen hergestellt werden. Materialien, die zum Herstellen des III-V-Verbindungshalbleiters verwendet werden können, sind unter anderem Indiumarsenid, Aluminiumarsenid, Galliumarsenid, Indiumphosphid, Galliumnitrid, Indiumgalliumarsenid, Indiumaluminiumarsenid, Galliumantimonid, Aluminiumantimonid, Aluminiumphosphid, Galliumphosphid und dergleichen.
  • Außerdem können in 6 geeignete Wannen (nicht dargestellt) in den Finnen 52 und/oder dem Substrat 50 erzeugt werden. Bei einigen Ausführungsformen kann eine p-Wanne in dem Bereich 50N erzeugt werden, und eine n-Wanne kann in dem Bereich 50P erzeugt werden. Bei einigen Ausführungsformen werden eine p-Wanne und eine n-Wanne in beiden Bereichen 50N und 50P erzeugt.
  • Bei den Ausführungsformen mit unterschiedlichen Wannentypen können unterschiedliche Implantationsschritte für den Bereich 50N und den Bereich 50P unter Verwendung eines Fotoresists oder anderer Masken (nicht dargestellt) durchgeführt werden. Zum Beispiel kann ein Fotoresist über den Finnen 52 und den STI-Bereichen 56 in dem Bereich 50N hergestellt werden. Das Fotoresist wird strukturiert, um den Bereich 50P des Substrats 50, wie etwa einen PMOS-Bereich, freizulegen. Das Fotoresist kann durch Aufschleudern hergestellt werden und kann mit geeigneten Fotolithografieverfahren strukturiert werden. Nachdem das Fotoresist strukturiert worden ist, wird eine Implantation mit einem n-Dotierungsstoff in dem Bereich 50P durchgeführt, und das Fotoresist kann als eine Maske fungieren, um weitgehend zu verhindern, dass n-Dotierungsstoffe in den Bereich 50N, wie etwa einen NMOS-Bereich, implantiert werden. Die n-Dotierungsstoffe können Phosphor, Arsen, Antimon oder dergleichen sein, die in dem Bereich mit einer Konzentration von gleich oder kleiner als 1018 cm-3, z. B. von etwa 1016 cm-3 bis etwa 1018 cm-3, implantiert werden. Nach der Implantation wird das Fotoresist zum Beispiel mit einem geeigneten Ablöseverfahren entfernt.
  • Nach der Implantation des Bereichs 50P wird ein Fotoresist über den Finnen 52 und den STI-Bereichen 56 in dem Bereich 50P hergestellt. Das Fotoresist wird strukturiert, um den Bereich 50N des Substrats 50, wie etwa den NMOS-Bereich, freizulegen. Das Fotoresist kann durch Aufschleudern hergestellt werden und kann mit geeigneten fotolithografischen Verfahren strukturiert werden. Nachdem das Fotoresist strukturiert worden ist, wird eine Implantation mit einem p-Dotierungsstoff in dem Bereich 50N durchgeführt, und das Fotoresist kann als eine Maske fungieren, um weitgehend zu verhindern, dass p-Dotierungsstoffe in den Bereich 50P, wie etwa den PMOS-Bereich, implantiert werden. Die p-Dotierungsstoffe können Bor, Borfluorid, Indium oder dergleichen sein, die in dem Bereich mit einer Konzentration von gleich oder kleiner als 1018 cm-3, z. B. von etwa 1016 cm-3 bis etwa 1018 cm-3, implantiert werden. Nach der Implantation kann das Fotoresist zum Beispiel mit einem geeigneten Ablöseverfahren entfernt werden.
  • Nach den Implantationen des Bereichs 50N und des Bereichs 50P kann ein Temperprozess durchgeführt werden, um Implantationsschäden zu reparieren und die implantierten p- und/oder n-Dotierungsstoffe zu aktivieren. Bei einigen Ausführungsformen können die aufgewachsenen Materialien der epitaxialen Finnen in situ während des Aufwachsens dotiert werden, sodass die Implantationen entfallen können, aber In-situ- und Implantationsdotierung können auch gemeinsam verwendet werden.
  • In 7 wird eine dielektrische Dummy-Schicht 60 auf den Finnen 52 hergestellt. Die dielektrische Dummy-Schicht 60 kann zum Beispiel Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen sein und kann mit geeigneten Verfahren abgeschieden werden oder thermisch aufgewachsen werden. Über der dielektrischen Dummy-Schicht 60 wird eine Dummy-Gateschicht 62 hergestellt, und über der Dummy-Gateschicht 62 wird eine Maskenschicht 64 hergestellt. Die Dummy-Gateschicht 62 kann über der dielektrischen Dummy-Schicht 60 abgeschieden werden und anschließend zum Beispiel mit einer CMP planarisiert werden. Die Maskenschicht 64 kann über der Dummy-Gateschicht 62 abgeschieden werden. Die Dummy-Gateschicht 62 kann ein leitfähiges oder ein nicht-leitfähiges Material aufweisen, das aus der Gruppe amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Siliziumgermanium (Poly-SiGe), Metallnitride, Metallsilizide, Metalloxide und Metalle gewählt ist. Die Dummy-Gateschicht 62 kann durch physikalische Aufdampfung (PVD), CVD, Sputterdeposition oder mit anderen Verfahren abgeschieden werden, die auf dem Fachgebiet zum Abscheiden des gewählten Materials bekannt sind und verwendet werden. Die Dummy-Gateschicht 62 kann auch aus anderen Materialien hergestellt werden, die gegenüber der Ätzung von Isolationsbereichen eine hohe Ätzselektivität haben. Die Maskenschicht 64 kann zum Beispiel Siliziumnitrid, Siliziumoxidnitrid oder dergleichen aufweisen. In diesem Beispiel werden nur eine Dummy-Gateschicht 62 und nur eine Maskenschicht 64 quer über den Bereichen 50N und 50P hergestellt. Es ist zu beachten, dass die dielektrische Dummy-Schicht 60 nur zur Erläuterung so dargestellt ist, dass sie nur die Finnen 52 bedeckt. Bei einigen Ausführungsformen kann die dielektrische Dummy-Schicht 60 so abgeschieden werden, dass sie die STI-Bereiche 56 bedeckt, sodass sie sich zwischen der Dummy-Gateschicht 62 und den STI-Bereichen 56 erstreckt.
  • Die 8A bis 15B zeigen verschiedene weitere Schritte bei der Herstellung von beispielhaften Vorrichtungen. Die 8A bis 15B zeigen Strukturelemente in dem Bereich 50N und dem Bereich 50P. Zum Beispiel können die Strukturen, die in den 8A bis 15B gezeigt sind, für den Bereich 50N und den Bereich 50P verwendet werden. Unterschiede (falls vorhanden) zwischen den Strukturen in dem Bereich 50N und dem Bereich 50P werden in dem Text zu jeder Figur beschrieben.
  • In den 8A und 8B wird die Maskenschicht 64 (siehe 7) mit geeigneten Fotolithografie- und Ätzverfahren strukturiert, um Masken 74 herzustellen. Die Struktur der Masken 74 kann dann auf die Dummy-Gateschicht 62 übertragen werden. Bei einigen Ausführungsformen (nicht dargestellt) kann die Struktur der Masken 74 mit einem geeigneten Ätzverfahren auch auf die dielektrische Dummy-Schicht 60 übertragen werden, um Dummy-Gates 72 herzustellen. Die Dummy-Gates 72 bedecken jeweilige Kanalbereiche 58 der Finnen 52. Die Struktur der Masken 74 kann zum physischen Trennen jedes der Dummy-Gates 72 von benachbarten Dummy-Gates 72 verwendet werden. Die Dummy-Gates 72 können außerdem eine Längsrichtung haben, die im Wesentlichen senkrecht zu der Längsrichtung der jeweiligen epitaxialen Finnen 52 ist.
  • In den 8A und 8B können Gate-Dichtungsabstandshalter 80 auf freiliegenden Oberflächen der Dummy-Gates 72, der Masken 74 und/oder der Finnen 52 hergestellt werden. Die Gate-Dichtungsabstandshalter 80 können durch eine thermische Oxidation oder eine Abscheidung und eine anschließende anisotrope Ätzung hergestellt werden. Die Gate-Dichtungsabstandshalter 80 können aus Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid oder dergleichen hergestellt werden.
  • Nach der Herstellung der Gate-Dichtungsabstandshalter 80 können Implantationen für leicht dotierte Source-/Drainbereiche (LDD-Bereiche; nicht einzeln dargestellt) durchgeführt werden. Bei den Ausführungsformen mit unterschiedlichen Vorrichtungstypen kann ähnlich wie bei den vorstehend unter Bezugnahme auf 6 erörterten Implantationen eine Maske, wie etwa ein Fotoresist, über dem Bereich 50N hergestellt werden, während der Bereich 50P freiliegt, und Dotierungsstoffe einer entsprechenden Dotierungsart (z. B. p-leitend) können in die freiliegenden Finnen 52 in dem Bereich 50P implantiert werden. Anschließend kann die Maske entfernt werden. Dann kann eine Maske, wie etwa ein Fotoresist, über dem Bereich 50P hergestellt werden, während der Bereich 50N freiliegt, und Dotierungsstoffe einer entsprechenden Dotierungsart (z. B. n-leitend) können in die freiliegenden Finnen 52 in dem Bereich 50N implantiert werden. Anschließend kann die Maske entfernt werden. Als die n-Dotierungsstoffe können die vorgenannten n-Dotierungsstoffe verwendet werden, und als die p-Dotierungsstoffe können die vorgenannten p-Dotierungsstoffe verwendet werden. Die leicht dotierten Source-/Drainbereiche können eine Dotierungskonzentration von etwa 1015 cm-3 bis etwa 1019 cm-3 haben. Mit einem Temperprozess können Implantationsschäden repariert werden und die implantierten Dotierungsstoffe aktiviert werden.
  • In den 9A und 9B werden Gate-Abstandshalter 86 auf den Gate-Dichtungsabstandshaltern 80 entlang Seitenwänden der Dummy-Gates 72 und der Masken 74 hergestellt. Die Gate-Abstandshalter 86 können durch konformes Abscheiden eines Isoliermaterials und anschließendes anisotropes Ätzen des Isoliermaterials hergestellt werden. Das Isoliermaterial für die Gate-Abstandshalter 86 kann Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbonitrid, eine Kombination davon oder dergleichen sein.
  • Es ist zu beachten, dass vorstehend ein Verfahren zum Herstellen von Abstandshaltern und LDD-Bereichen allgemein beschrieben worden ist. Es können aber auch andere Prozesse und Abläufe verwendet werden. Zum Beispiel können weniger oder zusätzliche Abstandshalter verwendet werden, eine andere Reihenfolge von Schritten kann verwendet werden (z. B. können die Gate-Dichtungsabstandshalter 80 nicht vor der Herstellung der Gate-Abstandshalter 86 geätzt werden, sodass L-förmige Gate-Dichtungsabstandshalter entstehen, Abstandshalter können hergestellt und entfernt werden, und/oder dergleichen). Außerdem können die n- und p-Vorrichtungen auch unter Verwendung anderer Strukturen und Schritte hergestellt werden. Zum Beispiel können die LDD-Bereiche für n-Vorrichtungen vor der Herstellung der Gate-Dichtungsabstandshalter 80 hergestellt werden, während die LDD-Bereiche für p-Vorrichtungen nach der Herstellung der Gate-Dichtungsabstandshalter 80 hergestellt werden können.
  • In den 10A und 10B werden gemäß einigen Ausführungsformen Source-/Drain-Epitaxiebereiche 82 in den Finnen 52 erzeugt. In einigen Fällen können die Source-/Drainbereiche 82 eine mechanische Spannung in die jeweiligen Kanalbereiche 58 eintragen, um die Leistung zu verbessern. Die Source-/Drain-Epitaxiebereiche 82 werden so in den Finnen 52 erzeugt, dass sich jedes Dummy-Gate 72 zwischen jeweiligen benachbarten Paaren von Source-/Drain-Epitaxiebereichen 82 befindet. Bei einigen Ausführungsformen können sich die Source-/Drain-Epitaxiebereiche 82 in die Finnen 52 hinein erstrecken und diese auch durchdringen. Bei einigen Ausführungsformen werden die Gate-Abstandshalter 86 zum Trennen der Source-/Drain-Epitaxiebereiche 82 mit einem geeigneten seitlichen Abstand von den Dummy-Gates 72 verwendet, sodass die Source-/Drain-Epitaxiebereiche 82 später hergestellte Gates der resultierenden FinFETs nicht kurzschließen.
  • Die Source-/Drain-Epitaxiebereiche 82 in dem Bereich 50N, z. B. dem NMOS-Bereich, können durch Maskieren des Bereichs 50P, z. B. des PMOS-Bereichs, und durch Ätzen von Source-/Drainbereichen der Finnen 52 in dem Bereich 50N hergestellt werden, um Aussparungen in den Finnen 52 zu erzeugen. Dann werden die Source-/Drain-Epitaxiebereiche 82 in dem Bereich 50N in den Aussparungen epitaxial aufgewachsen. Die Source-/Drain-Epitaxiebereiche 82 können jedes geeignete Material aufweisen, wie etwa ein Material, das für n-FinFETs geeignet ist. Wenn die Finne 52 zum Beispiel Silizium ist, können die Source-/Drain-Epitaxiebereiche 82 in dem Bereich 50N Materialien aufweisen, die eine Zugspannung in den Kanalbereich 58 eintragen, wie etwa Silizium, Siliziumcarbid, mit Phosphor dotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die Source-/Drain-Epitaxiebereiche 82 in dem Bereich 50N können Oberflächen haben, die gegenüber jeweiligen Oberflächen der Finnen 52 erhaben sind, und sie können Abschrägungen haben.
  • Die Source-/Drain-Epitaxiebereiche 82 in dem Bereich 50P, z. B. dem PMOS-Bereich, können durch Maskieren des Bereichs 50N, z. B. des NMOS-Bereichs, und durch Ätzen von Source-/Drainbereichen der Finnen 52 in dem Bereich 50P erzeugt werden, um Aussparungen in den Finnen 52 zu erzeugen. Dann werden die Source-/Drain-Epitaxiebereiche 82 in dem Bereich 50P in den Aussparungen epitaxial aufgewachsen. Die Source-/Drain-Epitaxiebereiche 82 können jedes geeignete Material aufweisen, wie etwa ein Material, das für p-FinFETs geeignet ist. Wenn die Finne 52 zum Beispiel Silizium ist, können die Source-/Drain-Epitaxiebereiche 82 in dem Bereich 50P Materialien aufweisen, die eine Druckspannung in den Kanalbereich 58 eintragen, wie etwa Siliziumgermanium, mit Bor dotiertes Siliziumgermanium, Germanium, Germanium-Zinn oder dergleichen. Die Source-/Drain-Epitaxiebereiche 82 in dem Bereich 50P können ebenfalls Oberflächen haben, die gegenüber jeweiligen Oberflächen der Finnen 52 erhaben sind, und sie können Abschrägungen haben.
  • Die Source-/Drain-Epitaxiebereiche 82 und/oder die Finnen 52 können, ähnlich wie bei dem vorstehend erörterten Prozess zum Erzeugen von leicht dotierten Source-/Drainbereichen, mit Dotanden implantiert werden, um Source-/Drainbereiche zu erzeugen, und sie können anschließend getempert werden. Die Source-/Drainbereiche können eine Dotierungskonzentration von etwa 1019 cm-3 bis etwa 1021 cm-3 haben. Als die n- und/oder p-Dotierungsstoffe für die Source-/Drainbereiche können die vorgenannten Dotierungsstoffe verwendet werden. Bei einigen Ausführungsformen können die Source-/Drain-Epitaxiebereiche 82 während des Aufwachsens in situ dotiert werden.
  • Durch die Epitaxieprozesse, die zum Erzeugen der Source-/Drain-Epitaxiebereiche 82 in dem Bereich 50N und dem Bereich 50P verwendet werden, haben Oberseiten der Source-/Drain-Epitaxiebereiche Abschrägungen, die sich seitlich nach außen über Seitenwände der Finnen 52 hinaus ausdehnen. Bei einigen Ausführungsformen führen diese Abschrägungen dazu, dass benachbarte Source-/Drainbereiche 82 desselben FinFET verschmelzen, wie in 10C gezeigt ist. Bei anderen Ausführungsformen bleiben benachbarte Source-/Drainbereiche 82 nach Beendigung des Epitaxieprozesses getrennt, wie in 10D gezeigt ist. Wie in den 10C und 10D gezeigt ist, werden bei einigen Ausführungsformen Gate-Abstandshalter 86 so hergestellt, dass sie einen Teil der Seitenwände der Finnen 52 bedecken, der sich über den STI-Bereichen 56 erstreckt, wodurch das epitaxiale Aufwachsen blockiert wird. Bei einigen weiteren Ausführungsformen kann die Abstandshalter-Ätzung, die zum Herstellen der Gate-Abstandshalter 86 verwendet wird, so angepasst werden, dass das Abstandshaltermaterial entfernt wird, damit sich der epitaxial aufgewachsene Bereich bis zu der Oberfläche der STI-Bereiche 56 erstrecken kann.
  • In den 11A und 11B wird gemäß einigen Ausführungsformen ein erstes Zwischenschicht-Dielektrikum (ILD) 88 über der in den 10A und 10B gezeigten Struktur abgeschieden. Das erste ILD 88 kann aus einem dielektrischen Material hergestellt werden und kann mit einem geeigneten Verfahren wie CVD, plasmaunterstützte CVD (PECVD) oder FCVD abgeschieden werden. Als dielektrische Materialien können Phorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), undotiertes Silicatglas (USG) oder dergleichen verwendet werden. Andere Isoliermaterialien, die mit einem geeigneten Verfahren abgeschieden werden, können ebenfalls verwendet werden. Bei einigen Ausführungsformen wird eine Kontakt-Ätzstoppschicht (CESL) 87 zwischen dem ersten ILD 88 und den Source-/Drain-Epitaxiebereichen 82, den Masken 74 und den Gate-Abstandshaltern 86 hergestellt. Die CESL 87 kann ein dielektrisches Material wie Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid oder dergleichen aufweisen, das eine andere Ätzrate als das Material des darüber befindlichen ersten ILD 88 hat. Bei einigen Ausführungsformen kann die CESL 87 mit einer Dicke von etwa 2 nm bis etwa 5 nm, z. B. von etwa 3 nm, hergestellt werden. In einigen Fällen kann durch Kontrollieren der Dicke der CESL 87 eine Größe (z. B. eine Breite oder eine Höhe) von Gatekontakten 118 und/oder eine Größe (z. B. eine Breite oder eine Höhe) von Luftspalten 120, die später erzeugt werden (siehe 17 bis 22), kontrolliert werden.
  • In den 12A und 12B kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden, um die Oberseite des ersten ILD 88 auf gleiche Höhe mit den Oberseiten der Dummy-Gates 72 oder der Masken 74 zu bringen. Bei dem Planarisierungsprozess können auch die Masken 74 auf den Dummy-Gates 72 sowie Teile der Gate-Dichtungsabstandshalter 80 und der Gate-Abstandshalter 86 entlang den Seitenwänden der Masken 74 entfernt werden. Nach dem Planarisierungsprozess sind Oberseiten der Dummy-Gates 72, der Gate-Dichtungsabstandshalter 80, der Gate-Abstandshalter 86 und des ersten ILD 88 auf gleicher Höhe. Daher werden die Oberseiten der Dummy-Gates 72 durch das erste ILD 88 freigelegt. Bei einigen Ausführungsformen können die Masken 74 bestehen bleiben, und in diesem Fall wird bei dem Planarisierungsprozess die Oberseite des ersten ILD 88 auf gleiche Höhe mit den Oberseiten der Masken 74 gebracht.
  • In den 13A und 13B werden die Dummy-Gates 72 und, falls vorhanden, die Masken 74 in einem oder mehreren Ätzschritten entfernt, sodass Aussparungen 90 entstehen. Teile der dielektrischen Dummy-Schicht 60 in den Aussparungen 90 können ebenfalls entfernt werden. Bei einigen Ausführungsformen werden nur die Dummy-Gates 72 entfernt, und die dielektrische Dummy-Schicht 60 bleibt bestehen und wird von den Aussparungen 90 freigelegt. Bei einigen Ausführungsformen wird die dielektrische Dummy-Schicht 60 aus den Aussparungen 90 in einem ersten Bereich eines Dies (z. B. in einem Kern-Logikbereich) entfernt, und sie bleibt in den Aussparungen 90 in einem zweiten Bereich des Dies (z. B. in einem Eingangs-/Ausgangsbereich) bestehen. Bei einigen Ausführungsformen werden die Dummy-Gates 72 mit einem anisotropen Trockenätzprozess entfernt. Der Ätzprozess kann zum Beispiel ein Trockenätzprozess unter Verwendung eines oder mehrerer Reaktionsgase sein, die die Dummy-Gates 72 selektiv ätzen, ohne das erste ILD 88, die Gate-Abstandshalter 86 oder die CESL 87 zu ätzen. Jede Aussparung 90 legt einen Kanalbereich 58 einer jeweiligen Finne 52 frei und/oder überdeckt diesen. Jeder Kanalbereich 58 ist zwischen benachbarten Paaren von Source-/Drain-Epitaxiebereichen 82 angeordnet. Während des Entfernens kann die dielektrische Dummy-Schicht 60 als eine Ätzstoppschicht verwendet werden, wenn die Dummy-Gates 72 geätzt werden. Die dielektrische Dummy-Schicht 60 kann nach dem Entfernen der Dummy-Gates 72 optional entfernt werden.
  • In den 14A und 14B werden dielektrische Gateschichten 92 und Gateelektroden 94 für Ersatzgates hergestellt. 14C zeigt eine Detailansicht eines Bereichs 89 von 14B. Die dielektrischen Gateschichten 92 werden konform in den Aussparungen 90 abgeschieden, wie etwa auf den Oberseiten und den Seitenwänden der Finnen 52 und auf Seitenwänden der Gate-Dichtungsabstandshalter 80 bzw. der Gate-Abstandshalter 86. Die dielektrischen Gateschichten 92 können auch auf der Oberseite des ersten ILD 88 hergestellt werden. Bei einigen Ausführungsformen weisen die dielektrischen Gateschichten 92 Siliziumoxid, Siliziumnitrid oder Multischichten davon auf. Bei einigen Ausführungsformen weisen die dielektrischen Gateschichten 92 ein dielektrisches High-k-Material auf, und bei diesen Ausführungsformen können die dielektrischen Gateschichten 92 einen k-Wert haben, der größer als etwa 7,0 ist, und sie können ein Metalloxid oder ein Silicat von Hafnium, Aluminium, Zirconium, Lanthan, Mangan, Barium, Titan, Blei und Kombinationen davon aufweisen. Als Herstellungsverfahren für die dielektrischen Gateschichten 92 können Molekularstrahlabscheidung (MBD), ALD, PECVD und dergleichen verwendet werden. Bei Ausführungsformen, bei denen Teile des Dummy-Gate-Dielektrikums 60 in den Aussparungen 90 verbleiben, weisen die dielektrischen Gateschichten 92 das Material des Dummy-Gate-Dielektrikums 60 (z. B. Siliziumoxid) auf.
  • Die Gateelektroden 94 werden jeweils über den dielektrischen Gateschichten 92 abgeschieden und füllen die übrigen Teile der Aussparungen 90. Die Gateelektroden 94 können ein metallhaltiges Material, wie etwa Titannidrid, Titanoxid, Tantalnitrid, Tantalcarbid, Cobalt, Ruthenium, Aluminium oder Wolfram, Kombinationen davon oder Multischichten davon aufweisen. Obwohl in 14B eine einschichtige Gateelektrode 94 dargestellt ist, kann die Gateelektrode 94 zum Beispiel beliebig viele Deckschichten 94A, beliebig viele Austrittsarbeits-Einstellschichten 94B und ein Füllmaterial 94C aufweisen, wie in 14C gezeigt ist. Nach dem Füllen der Aussparungen 90 kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden, um die über der Oberseite des ersten ILD 88 befindlichen überschüssigen Teile der dielektrischen Gateschichten 92 und des Materials der Gateelektroden 94 zu entfernen. Die verbliebenen Teile des Materials der Gateelektroden 94 und der dielektrischen Gateschichten 92 bilden somit Ersatzgates der resultierenden FinFETs. Die Gateelektroden 94 und die dielektrischen Gateschichten 92 können kollektiv als ein „Gatestapel 92/94“ bezeichnet werden. Das Gate und die Gatestapel können sich entlang den Seitenwänden des Kanalbereichs 58 der Finnen 52 erstrecken.
  • Die dielektrischen Gateschichten 92 können gleichzeitig in dem Bereich 50N und dem Bereich 50P hergestellt werden, sodass sie in jedem Bereich aus den gleichen Materialien bestehen, und die Gateelektroden 94 können ebenfalls gleichzeitig in jedem Bereich hergestellt werden, sodass sie ebenfalls aus den gleichen Materialien bestehen. Bei einigen Ausführungsformen können die dielektrischen Gateschichten 92 in jedem Bereich mit unterschiedlichen Prozessen hergestellt werden, sodass sie unterschiedliche Materialien aufweisen können, und/oder die Gateelektroden 94 in jedem Bereich können mit unterschiedlichen Prozessen hergestellt werden, sodass sie unterschiedliche Materialien aufweisen können. Es können verschiedene Maskierungsschritte verwendet werden, um entsprechende Bereiche zu maskieren und freizulegen, wenn unterschiedliche Prozesse verwendet werden.
  • In den 15A und 15B wird gemäß einigen Ausführungsformen ein zweites ILD 108 über dem ersten ILD 88 abgeschieden. Bei einigen Ausführungsformen ist das zweite ILD 108 eine fließfähige Schicht, die durch fließfähige CVD hergestellt wird. Bei einigen Ausführungsformen wird das zweite ILD 108 aus einem dielektrischen Material wie PSG, BSG, BPSG, USG oder dergleichen hergestellt, und es kann mit einem geeigneten Verfahren wie CVD und PECVD abgeschieden werden. Ein Planarisierungsprozess, wie etwa eine CMP, kann durchgeführt werden, um eine Oberfläche des zweiten ILD 108 zu planarisieren. Bei einigen Ausführungsformen kann das zweite ILD 108 mit einer Dicke T1 von etwa 10 nm bis etwa 30 nm hergestellt werden, aber es sind auch andere Dicken möglich.
  • Bei einigen Ausführungsformen wird eine Hartmaske 96 über der Struktur abgeschieden, bevor das zweite ILD 108 abgeschieden wird. Die Hartmaske 96 kann eine oder mehrere Schichten aus einem dielektrischen Material wie Siliziumnitrid, Siliziumoxidnitrid oder dergleichen aufweisen, und sie kann eine andere Ätzrate als das Material des darunter befindlichen zweiten ILD 108 haben. Bei einigen Ausführungsformen kann die Hartmaske 96 mit einer Dicke von etwa 2 nm bis etwa 4 nm hergestellt werden. Bei einigen Ausführungsformen wird die Hartmaske 96 aus dem gleichen Material wie die CESL 87 hergestellt, oder sie wird so hergestellt, dass sie ungefähr die gleiche Dicke wie die CESL 87 hat. Später hergestellte Source-/Drainkontakte 118 (siehe 20) durchdringen die Hartmaske 96 und die CESL 87, um eine Oberseite der Source-/Drain-Epitaxiebereiche 82 zu kontaktieren, und Gatekontakte 132 (siehe 27A) durchdringen die Hartmaske 96, um eine Oberseite der Gateelektrode 94 zu kontaktieren.
  • Die 16 bis 22 zeigen Zwischenschritte bei der Herstellung von Source-/Drainkontakten 118 mit Luftspalten 120 (siehe 22) gemäß einigen Ausführungsformen. Die Source-/Drainkontakte 118 kontaktieren die Source-/Drainbereiche 82 physisch und elektrisch. Die Source-/Drainkontakte 118 können auch als „Kontakte 118“ oder „Kontaktstifte 118“ bezeichnet werden. Der Klarheit halber sind die 16 bis 22 als Detailansichten eines Bereichs 111 von 15B dargestellt. 16 zeigt den Bereich 111 derselben Struktur, die in 15B gezeigt ist.
  • In 17 werden gemäß einigen Ausführungsformen Öffnungen 110 in dem ersten ILD 88 und dem zweiten ILD 108 erzeugt, um die Source-/Drainbereiche 82 freizulegen. Die Öffnungen 110 können mit geeigneten Fotolithografie- und Ätzverfahren erzeugt werden. Zum Beispiel kann ein Fotoresist (z. B. eine einschichtige oder eine mehrschichtige Fotoresiststruktur) über dem zweiten ILD 108 hergestellt werden. Das Fotoresist kann dann strukturiert werden, um das zweite ILD 108 in Bereichen freizulegen, die den Öffnungen 110 entsprechen. Zum Ätzen der Öffnungen 110 können ein oder mehrere geeignete Ätzprozesse unter Verwendung des strukturierten Fotoresists als eine Ätzmaske durchgeführt werden. Der eine oder die mehreren Ätzprozesse können Nassätzprozesse und/oder Trockenätzprozesse sein. Bei einigen Ausführungsformen können die CESL 87 und/oder die Hartmaske 96 als eine Ätzstoppschicht verwendet werden, wenn die Öffnungen 110 erzeugt werden. Bei einigen Ausführungsformen können Teile der CESL 87, die sich über den Source-/Drain-Epitaxiebereichen 82 erstrecken, ebenfalls entfernt werden. Bei einigen Ausführungsformen, bei denen sich die Öffnungen 110 durch die CESL 87 erstrecken, können sich die Öffnungen 110 unter einer Oberseite der Source-/Drain-Epitaxiebereiche 82 und in die Source-/Drain-Epitaxiebereiche 82 hinein erstrecken. Bei einigen Ausführungsformen kann mit dem einen oder den mehreren Ätzprozessen das Material des ersten ILD 88 entfernt werden, um die CESL 87 freizulegen, und Teile der CESL 87 über den Source-/Drain-Epitaxiebereichen 82 können ebenfalls partiell geätzt werden. Die Öffnungen 110 können konische Seitenwände haben, wie in 17 gezeigt ist, oder sie können Seitenwände mit einem anderen Profil (z. B. vertikale Seitenwände) haben. Bei einigen Ausführungsformen können die Öffnungen eine Breite W1 von etwa 10 nm bis etwa 30 nm haben, aber es sind auch andere Breiten möglich. Die Breite W1 kann quer über die Oberseite der Öffnungen 110, quer über die Unterseite der Öffnungen 110 oder quer über die Öffnungen 110 an jeder Position gemessen werden. In einigen Fällen kann durch Kontrollieren der Breite W1 eine Größe der Source-/Drainkontakte 118 und/oder eine Größe der Luftspalte 120, die später erzeugt werden (siehe 22), kontrolliert werden.
  • In 18 wird gemäß einigen Ausführungsformen eine Dummy-Abstandshalterschicht 112 über den Öffnungen 110 hergestellt. Bei einigen Ausführungsformen wird zunächst ein Ätzprozess durchgeführt, um die CESL 87 über den Source-/Drain-Epitaxiebereichen 82 zu entfernen. Der Ätzprozess kann zum Beispiel ein anisotroper Trockenätzprozess sein. Durch den Ätzprozess können die Öffnungen 110 unter einer Oberseite der Source-/Drain-Epitaxiebereiche 82 und in die Source-/Drain-Epitaxiebereiche 82 hinein verlängert werden. Dann kann bei einigen Ausführungsformen die Dummy-Abstandshalterschicht 112 als eine Schutzschicht so hergestellt werden, dass sie sich über dem zweiten ILD 108, der CESL 87 und den Source-/Drain-Epitaxiebereichen 82 erstreckt. Die Dummy-Abstandshalterschicht 112 kann ein Material wie Silizium, Polysilizium, amorphes Silizium oder dergleichen oder eine Kombination davon aufweisen. Bei einigen Ausführungsformen ist die Dummy-Abstandshalterschicht 112 ein Material, das mit einer hohen Selektivität in Bezug zu anderen Schichten geätzt werden kann, wie etwa zu dem zweiten ILD 108, der CESL 87 oder einer Kontaktabstandshalterschicht 114 (die später beschrieben wird). Die Dummy-Abstandshalterschicht 112 kann durch PVD, CVD, ALD oder dergleichen abgeschieden werden. Bei einigen Ausführungsformen kann die Dummy-Abstandshalterschicht 112 mit einer Dicke von etwa 3 nm bis etwa 9 nm abgeschieden werden, aber es sind auch andere Dicken möglich. Bei einigen Ausführungsformen entspricht die Dicke der Dummy-Abstandshalterschicht 112 ungefähr einer Breite W2 der später erzeugten Luftspalte 120 (siehe 22).
  • In 19 wird gemäß einigen Ausführungsformen eine Kontaktabstandshalterschicht 114 auf der Dummy-Abstandshalterschicht 112 hergestellt. Vor dem Herstellen der Kontaktabstandshalterschicht 114 kann ein geeigneter Trockenätzprozess durchgeführt werden, um Bereiche der Dummy-Abstandshalterschicht 112 zu entfernen, die sich seitlich über dem zweiten ILD 108 und den Source-/Drain-Epitaxiebereichen 82 erstrecken. Auf Grund der Anisotropie des Trockenätzprozesses bleiben Bereiche der Dummy-Abstandshalterschicht 112 bestehen, die sich entlang Seitenwänden der Öffnungen 110 erstrecken. Bei einigen Ausführungsformen kann mit dem anisotropen Trockenätzprozess auch das Material der Source-/Drain-Epitaxiebereiche 82 geätzt werden, sodass sich die Öffnungen 110 weiter in die Source-/Drain-Epitaxiebereiche 82 hinein erstrecken.
  • Die Kontaktabstandshalterschicht 114 kann bei einigen Ausführungsformen als eine Schutzschicht hergestellt werden, die sich über dem zweiten ILD 108, der Dummy-Abstandshalterschicht 112 und den Source-/Drain-Epitaxiebereichen 82 erstreckt. Die Kontaktabstandshalterschicht 114 kann eine oder mehrere Schichten aus Materialien wie Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbonitrid oder dergleichen oder einer Kombination davon umfassen. Die Kontaktabstandshalterschicht 114 kann durch PVD, CVD, ALD oder dergleichen abgeschieden werden. Bei einigen Ausführungsformen kann die Kontaktabstandshalterschicht 114 mit einer Dicke von etwa 2 nm bis etwa 5 nm, z. B. von etwa 3 nm, hergestellt werden, aber es sind auch andere Dicken möglich. Nach dem Herstellen der Kontaktabstandshalterschicht 114 kann ein geeigneter Trockenätzprozess durchgeführt werden, um Bereiche der Kontaktabstandshalterschicht 114 zu entfernen, die sich seitlich über dem zweiten ILD 108, der Dummy-Abstandshalterschicht 112 und den Source-/Drain-Epitaxiebereichen 82 erstrecken. Auf Grund der Anisotropie des Trockenätzprozesses bleiben Bereiche der Kontaktabstandshalterschicht 114 bestehen, die sich entlang Seitenwänden der Öffnungen 110 (z. B. entlang der Dummy-Abstandshalterschicht 112) erstrecken. In einigen Fällen können durch Kontrollieren der Dicke der Kontaktabstandshalterschicht 114 die Größe der Source-/Drainkontakte 118 und/oder die Größe der später erzeugten Luftspalte 120 (siehe 22) kontrolliert werden.
  • In 20 werden gemäß einigen Ausführungsformen ein oder mehrere leitfähige Materialien in den Öffnungen 110 abgeschieden, sodass Source-/Drainkontakte 118 entstehen. Bei einigen Ausführungsformen umfassen die leitfähigen Materialien für die Source-/Drainkontakte 118 einen Belag (nicht einzeln dargestellt), der auf Oberflächen der Öffnungen 110 (z. B. auf der Kontaktabstandshalterschicht 114) konform abgeschieden wird, und ein leitfähiges Füllmaterial, das auf dem Belag abgeschieden wird, um die Öffnungen 110 zu füllen. Bei einigen Ausführungsformen weist der Belag Titan, Cobalt, Nickel, Titannidrid, Titanoxid, Tantalnitrid, Tantaloxid oder dergleichen oder eine Kombination davon auf. Bei einigen Ausführungsformen ist das leitfähige Füllmaterial Cobalt, Wolfram, Kupfer, Aluminium, Gold, Silber, eine Legierung davon oder dergleichen oder eine Kombination davon. Der Belag oder das leitfähige Füllmaterial kann mit einem oder mehreren Verfahren wie CVD, PVD, ALD, Sputtern, Plattierung oder dergleichen abgeschieden werden.
  • Bei einigen Ausführungsformen können außerdem Silizidbereiche 116 auf oberen Teilen der Source-/Drain-Epitaxiebereiche 82 erzeugt werden, um die elektrische Verbindung zwischen den Source-/Drain-Epitaxiebereichen 82 und den Source-/Drainkontakten 118 zu verbessern. Bei einigen Ausführungsformen können die Silizidbereiche 116 dadurch erzeugt werden, dass die oberen Teile der Source-/Drain-Epitaxiebereiche 82 mit dem Belag zur Reaktion gebracht werden. Bei einigen Ausführungsformen kann auf den Source-/Drain-Epitaxiebereichen 82 ein gesondertes Material abgeschieden werden, das dann mit den Source-/Drain-Epitaxiebereichen 82 zur Reaktion gebracht wird, um die Silizidbereiche 116 zu erzeugen. Die Silizidbereiche 116 können ein Titansilizid, ein Nickelsilizid oder dergleichen oder eine Kombination davon aufweisen. Bei einigen Ausführungsformen werden ein oder mehrere Temperprozesse durchgeführt, um die Silizidbildungsreaktion zu unterstützen. Nachdem das leitfähige Füllmaterial für die Source-/Drainkontakte 118 abgeschieden worden ist, kann überschüssiges Material mit einem Planarisierungsprozess, wie etwa einer CMP, entfernt werden, um Oberseiten der Source-/Drainkontakte 118 zu erzeugen, die mit der Oberseite des zweiten ILD 108 koplanar sind.
  • In 21 wird gemäß einigen Ausführungsformen das Material der Dummy-Abstandshalterschicht 112 entfernt, um erste Luftspalte 120' zu erzeugen. Das Material der Dummy-Abstandshalterschicht 112 kann mit einem geeigneten Ätzprozess, wie etwa einem Trockenätzprozess, entfernt werden. Der Ätzprozess kann für das Material der Dummy-Abstandshalterschicht 112 gegenüber dem Material des zweiten ILD 108, der CESL 87 oder der Kontaktabstandshalterschicht 114 selektiv sein. Zum Beispiel können bei einer Ausführungsform, bei der die Dummy-Abstandshalterschicht 112 Silizium aufweist und die Kontaktabstandshalterschicht 114 Siliziumnitrid aufweist, HBr, O2, He, CH3F, H2 oder dergleichen oder Kombinationen davon als Prozessgase in einem Plasmaätzprozess verwendet werden, der selektiv das Silizium der Dummy-Abstandshalterschicht 112 ätzt. Andere Materialien oder Ätzprozesse können ebenfalls verwendet werden.
  • Bei einigen Ausführungsformen können die ersten Luftspalte 120' mit einer Breite W2 von etwa 0,5 nm bis etwa 4 nm erzeugt werden, aber es sind auch andere Breiten möglich. In einigen Fällen kann durch Erzeugen der ersten Luftspalte 120' mit einer größeren Breite W2 die Kapazität reduziert werden und die Vorrichtungsleistung verbessert werden, wie später näher dargelegt wird. Die ersten Luftspalte 120' können eine im Wesentlichen einheitliche Breite haben, oder die Breite kann sich entlang ihrer vertikalen Länge (z. B. der Länge, die sich von dem Substrat 50 weg erstreckt) ändern. Zum Beispiel kann die Breite der ersten Luftspalte 120' kleiner werden, sodass sie eine kleinere Breite in der Nähe der Unterseite (z. B. in der Nähe der Source-/Drain-Epitaxiebereiche 82) als in der Nähe der Oberseite (z. B. in der Nähe des zweiten ILD 108) haben. Bei einigen Ausführungsformen kann sich die Unterseite der ersten Luftspalte 120' in die Source-/Drain-Epitaxiebereiche 82 hinein erstrecken (wie in 21 gezeigt ist), oder die ersten Luftspalte 120' können eine Unterseite haben, die sich auf der Höhe oder über einer Oberseite der Source-/Drain-Epitaxiebereiche 82 befindet. Die ersten Luftspalte 120' können sich in einem Winkel zu einer vertikalen Achse erstrecken, wie in 21 gezeigt ist, oder sie können sich im Wesentlichen entlang einer vertikalen Achse erstrecken. Bei einigen Ausführungsformen können sich die ersten Luftspalte 120' mit einer vertikalen Höhe H1 (z. B. mit einer Strecke H1 entlang einer vertikalen Achse) erstrecken, die etwa 15 nm bis etwa 80 nm beträgt, aber es sind auch andere Höhen möglich.
  • In einigen Fällen kann durch Erzeugen der ersten Luftspalte 120' (und durch die später erzeugten Luftspalte 120, die in 22 gezeigt sind) zwischen dem Source-/Drainkontakt 118 und dem Gatestapel 92/94 die Kapazität zwischen dem Source-/Drainkontakt 118 und dem Gatestapel 92/94 reduziert werden. Die Kapazität kann auf diese Weise auf Grund der niedrigeren Dielektrizitätskonstante (k-Wert) von Luft, die etwa k = 1 ist, im Vergleich zu anderen Abstandshaltermaterialien wie Oxiden, Nitriden oder dergleichen reduziert werden. Durch Reduzieren der Kapazität unter Verwendung der Luftspalte 120 kann die FinFET-Vorrichtung höhere Ansprechgeschwindigkeiten und eine bessere Leistung bei Betrieb bei höheren Frequenzen haben.
  • In 22 wird eine Ätzstoppschicht (ESL) 122 über dem zweiten ILD 108, den Source-/Drainkontakten 118 und über den ersten Luftspalten 120' hergestellt. Die ESL 122 kann als eine Schutzschicht hergestellt werden, die sich quer über die ersten Luftspalte 120' erstreckt, sodass die ersten Luftspalte 120' umschlossen werden und Luftspalte 120 bilden. Bei einigen Ausführungsformen erstreckt sich ein Teil des Materials der ESL 122 teilweise in die ersten Luftspalte 120'. Die ESL 122 kann später als eine Ätzstoppschicht während der Herstellung von leitfähigen Strukturelementen 136 auf den Source-/Drainkontakten 118 verwendet werden, wie später unter Bezugnahme auf die 26A und 26B sowie 27A und 27B dargelegt wird.
  • Die ESL 122 kann eine oder mehrere Schichten aus Materialien wie Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbonitrid, Siliziumoxidcarbonitrid oder dergleichen oder einer Kombination davon umfassen und kann zum Beispiel mit einem ALD-Prozess, z. B. einem thermischen ALD-Prozess oder einem plasmaunterstützten ALD-Prozess (PEALD), abgeschieden werden. Bei einigen Ausführungsformen kann die ESL 122 mit einer Dicke T2 über dem zweiten ILD 108 von etwa 3 nm bis etwa 30 nm hergestellt werden, aber es sind auch andere Dicken möglich. Bei einigen Ausführungsformen kann die ESL 122 so abgeschieden werden, dass sich ihr Material in die ersten Luftspalte 120' erstreckt und diese abdichtet. Die Teile der ESL 122, die sich in die ersten Luftspalte 120' erstrecken, sind in 22 und in späteren Figuren als Dichtungsbereiche 123' dargestellt. Bei einigen Ausführungsformen können sich die Dichtungsbereiche 123' mit einer vertikalen Strecke D1 von etwa 2 nm bis etwa 20 nm in die ersten Luftspalte 120' erstrecken, aber es sind auch andere Strecken möglich. In einigen Fällen kann die Strecke D1 kleiner als, ungefähr gleich der oder größer als die Dicke T1 des zweiten ILD 108 sein. Bei einigen Ausführungsformen kann die Strecke D1 durch Kontrollieren von Parametern des Abscheidungsprozesses für das Material der ESL 122 kontrolliert werden, der später näher beschrieben wird.
  • Die übrigen Teile der ersten Luftspalte 120', die von den Dichtungsbereichen 123' abgedichtet werden, sind in 22 und in späteren Figuren als Luftspalte 120 dargestellt. Bei einigen Ausführungsformen können sich die Luftspalte 120 mit einer vertikalen Höhe H2 von etwa 10 nm bis etwa 80 nm erstrecken, aber es sind auch andere Höhen möglich. Durch Steuern der Abscheidung der ESL 122 so, dass sich die Dichtungsbereiche 123' in die ersten Luftspalte 120' erstrecken, kann verhindert werden, dass später abgeschiedenes leitfähiges Material für die leitfähigen Strukturelemente 136 (siehe 27B) die ersten Luftspalte 120' vollständig oder teilweise füllt, und dadurch können Kapazitätsvorteile der Luftspalte aufrechterhalten werden und gleichzeitig kann auch die Gefahr eines Leckverlusts zwischen den leitfähigen Strukturelementen 136 und dem Gatestapel 92/94 verringert werden. Zum Beispiel kann durch Erzeugen der Luftspalte 120 zwischen den Source-/Drainkontakten 118 und dem Gatestapel 92/94 einer FinFET-Vorrichtung die parasitäre Kapazität zwischen den Source-/Drainkontakten 118 und dem Gatestapel 92/94 reduziert werden, sodass der Hochgeschwindigkeitsbetrieb des FinFET verbessert werden kann. Außerdem wird durch das Vorhandensein der Luftspalte 120 die Gefahr eines Leckverlusts zwischen den Source-/Drainkontakten 118 und dem Gatestapel 92/94 oder zwischen später hergestellten leitfähigen Strukturelementen 136 (siehe 27B) und dem Gatestapel 92/94 verringert. Durch Kontrollieren der Strecke D1 der Dichtungsbereiche 123' kann die Größe der später erzeugten Luftspalte 120 kontrolliert werden. Zum Beispiel können mit einer kleineren Strecke D1 größere Luftspalte 120 erzeugt werden, wodurch die parasitäre Kapazität oder der Leckverlust weiter reduziert werden kann.
  • Bei einigen Ausführungsformen, bei denen ein ALD-Prozess zum Abscheiden des Materials für die ESL 122 verwendet wird, können die Parameter des ALD-Prozesses so angepasst werden, dass die Strecke D1, mit der sich die Dichtungsbereiche 123' in die ersten Luftspalte 120' erstrecken, kontrolliert werden kann. Bei einigen Ausführungsformen kann die Strecke D1 dadurch kontrolliert werden, dass die Dosis (z. B. der Druck und/oder die Impulsdauer) eines oder mehrerer Vorläufer des ALD-Prozesses kontrolliert wird. Zum Beispiel kann durch eine größere Dosis eines Vorläufers der Vorläufer tiefere Flächen in den ersten Luftspalten 120' erreichen und kann mit diesen reagieren. Auf diese Weise kann durch größere Dosen von Vorläufern das Material der ESL 122 auf Flächen aufwachsen, die sich tiefer in die ersten Luftspalte 120' erstrecken. Dementsprechend kann durch kleinere Dosen von Vorläufern das Wachstum des Materials der ESL 122 auf Flächen in der Nähe der Oberseite der ersten Luftspalte 120' begrenzt werden. Auf diese Weise kann durch Kontrollieren der Dosis eines oder mehrerer der Vorläufer die Strecke, mit der das Material der ESL 122 in die ersten Luftspalte 120' hinein aufgewachsen wird, kontrolliert werden, und somit kann die Strecke D1, mit der sich die Dichtungsbereiche 123' in die ersten Luftspalte 120' erstrecken, kontrolliert werden.
  • Bei einigen Ausführungsformen kann durch Verwenden einer kleineren Dosis eines Vorläufers dieser Vorläufer nicht mehr in der Lage sein, alle Flächen (z. B. die Unterseite) der ersten Luftspalte 120' während eines ALD-Halbzyklus zu erreichen, und dadurch werden während des ALD-Halbzyklus nicht alle potentiellen Oberflächenreaktionsstellen mit diesem Vorläufer zur Reaktion gebracht. Auf diese Weise wird der ALD-Prozess nicht durch eine Sättigung von Oberflächenreaktionsstellen, sondern von der Vorläuferdosis begrenzt, und der hier beschriebene ALD-Prozess kann als ein „ungesättigter“ oder „niedrigdosierter“ ALD-Prozess angesehen werden. Außerdem kann durch Verwenden von kleineren Vorläuferdosen das Material der ESL 122 so kontrolliert werden, dass es nicht die ersten Luftspalte 120' füllt, sondern auf Oberseiten der ersten Luftspalte 120' aufwächst, um Luftspalte 120 zu erzeugen, die von Dichtungsbereichen 123' abgedichtet werden. Auf diese Weise können mit dem hier beschriebenen ungesättigten ALD-Prozess die ersten Luftspalte 120' mit einer geringeren Gefahr, dass die ersten Luftspalte 120' mit Material gefüllt werden, abgedichtet werden.
  • Die 23A und 23B zeigen Strukturen, die denen ähnlich sind, die in 22 gezeigt sind, aber 23A zeigt eine Ausführungsform, bei der die Dichtungsbereiche 123' mit einer kleineren Strecke D1 erzeugt werden, und 23B zeigt eine Ausführungsform, bei der die Dichtungsbereiche 123' mit einer größeren Strecke D1 erzeugt werden. Bei einigen Ausführungsformen können die Parameter des hier beschriebenen ungesättigten ALD-Prozesses so gesteuert werden, dass die Strecke D1 der Dichtungsbereiche 123' kontrolliert wird. Zum Beispiel kann die Dosis (z. B. der Druck und/oder die Impulsdauer) eines Vorläufers eines Halbzyklus so gesteuert werden, dass die Erzeugung der Dichtungsbereiche 123' kontrolliert wird. Durch Verwenden einer niedrigeren Vorläuferdosis (z. B. eines niedrigeren Vorläuferdrucks und/oder einer kürzeren Impulsdauer) können Dichtungsbereiche 123' erzeugt werden, die sich mit einer kürzeren Strecke D1 in die ersten Luftspalte 120' erstrecken, ähnlich wie bei den Dichtungsbereichen 123', die in 23A gezeigt sind. Durch Verwenden einer höheren Vorläuferdosis (z. B. eines höheren Vorläuferdrucks und/oder einer längeren Impulsdauer) können Dichtungsbereiche 123' erzeugt werden, die sich mit einer längeren Strecke D1 in die ersten Luftspalte 120' erstrecken, ähnlich wie bei den Dichtungsbereichen 123', die in 23B gezeigt sind. Auf diese Weise kann durch Kontrollieren der Vorläuferdosis die Strecke D1 kontrolliert werden, mit der sich die Dichtungsbereiche 123' in die ersten Luftspalte 120' erstrecken.
  • Als ein weiteres Beispiel kann bei Ausführungsformen, bei denen der ALD-Prozess ein PEALD-Prozess ist, die Dauer, mit der die HF-Leistung in einem Halbzyklus angelegt wird, so gesteuert werden, dass die Herstellung der Dichtungsbereiche 123' kontrolliert wird. Wenn die HF-Dauer verkürzt wird, nimmt die Anzahl von erzeugten reaktiven Vorläuferspezies ab, und durch eine kürzere HF-Leistungsdauer können Durchkontaktierungsbereiche erzeugt werden, die sich mit einer kürzeren Strecke D1 erstrecken, ähnlich wie bei den Dichtungsbereichen 123', die in 23A gezeigt sind. Durch eine längere HF-Leistungsdauer können Durchkontaktierungsbereiche erzeugt werden, die sich mit einer längeren Strecke D1 erstrecken, ähnlich wie bei den Dichtungsbereichen 123', die in 23B gezeigt sind. Bei einigen Ausführungsformen können durch eine kürzere Vorläufer-Impulsdauer in Kombination mit einer kürzeren HF-Leistungsdauer Dichtungsbereiche 123' mit einer kürzeren Strecke D1 als durch eine längere Vorläufer-Impulsdauer in Kombination mit einer längeren HF-Leistungsdauer erzeugt werden. Dies sind lediglich Beispiele, und der Vorläuferdruck, die Impulsdauer, die HF-Leistungsdauer und/oder andere Parameter können bei anderen Ausführungsformen oder anderen Abwandlungen so gesteuert werden, dass die Herstellung der Dichtungsbereiche 123' kontrolliert wird. Die Parameter oder Vorläufer von unterschiedlichen Teilen eines ALD-Zyklus können auf diese Weise gesteuert werden, und bei einigen Ausführungsformen können dieselben Teile von unterschiedlichen ALD-Zyklen eines Abscheidungsprozesses unterschiedliche Parameter haben. Die Dichtungsbereiche 123' und die jeweiligen Strecken D1, die in den 22, 23A und 23B gezeigt sind, sind erläuternde Beispiele, und es können Dichtungsbereiche 123' mit anderen als den dargestellten Strecken D1 erzeugt werden.
  • Als ein erläuterndes Beispiel kann ein PEALD-Prozess zum Abscheiden der ESL 122 (und von Dichtungsbereichen 123), die Siliziumnitrid aufweisen, verwendet werden. Silizium-bildende Vorläufer, wie etwa SiH4, SiH2Cl2, SiH2I2 oder dergleichen oder Kombinationen davon, können für einen Siliziumbildungs-Halbzyklus verwendet werden, und Stickstoff-bildende Vorläufer, wie etwa N2, NH3 oder dergleichen oder Kombinationen davon, können für einen Stickstoffbildungs-Halbzyklus verwendet werden, in dem ein Plasma erzeugt wird. Bei anderen Ausführungsformen können andere Vorläufer als diese verwendet werden. Die Abscheidung kann in einer Prozesskammer bei einer Prozesstemperatur von etwa 250 °C bis etwa 400 °C durchgeführt werden, aber es können auch andere Temperaturen verwendet werden. Bei einigen Ausführungsformen kann in einem Siliziumbildungs-Halbzyklus der Silizium-bildende Vorläufer mit einem Durchsatz von etwa 5 Ncm3/min bis etwa 100 Ncm3/min und einer Impulsdauer von etwa 0,1 s bis etwa 0,5 s impulsweise in die Prozesskammer eingeleitet werden. Der Siliziumbildungs-Halbzyklus kann einen Druck von etwa 1333 Pa bis etwa 4000 Pa haben. Nach dem impulsweisen Einleiten des Silizium-bildenden Vorläufers kann eine Spülung mit einer Dauer von etwa 0,1 s bis etwa 5 s durchgeführt werden. Bei einigen Ausführungsformen kann in einem Stickstoffbildungs-Halbzyklus der Stickstoff-bildende Vorläufer mit einem Durchsatz von etwa 10 Ncm3/min bis etwa 500 Ncm3/min und einer Impulsdauer von etwa 0,1 s bis etwa 1 s impulsweise in die Prozesskammer eingeleitet werden. Der Stickstoffbildungs-Halbzyklus kann einen Druck von etwa 1333 Pa bis etwa 4000 Pa haben. Mittels HF-Leistung kann ein Plasma für etwa 0,1 s bis etwa 1 s erzeugt werden. Das Plasma kann mit einer HF-Leistung von etwa 100 W bis etwa 800 W erzeugt werden. Nach dem impulsweisen Einleiten des Stickstoff-bildenden Vorläufers kann eine Spülung mit einer Dauer von etwa 0,1 s bis etwa 1 s durchgeführt werden. Dies sind nur beispielhafte Parameterwerte, und bei anderen Ausführungsformen können andere Parameterwerte oder Parameterwerte in Kombination mit anderen als diesen Beispielen verwendet werden.
  • Die 24A bis 27B sind Schnittansichten von weiteren Stufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen. Die 24A bis 27B zeigen die gleichen Schnittansichten der Struktur, die in den 15A und 15B gezeigt sind. Die 24A und 24B zeigen die Struktur nach der Abscheidung der ESL 122, die der in 22 gezeigten Struktur ähnlich ist.
  • In den 25A und 25B kann gemäß einigen Ausführungsformen eine dielektrische Schicht 134 über der ESL 122 hergestellt werden. Die dielektrische Schicht 134 kann aus einem geeigneten dielektrischen Material hergestellt werden, wie etwa einem dielektrischen Low-k-Material, einem Polymer, wie etwa einem Polyimid, einem Siliziumoxid, einem Siliziumnitrid, Siliziumcarbid, Siliziumcarbonitrid, Siliziumoxidcarbonitrid oder dergleichen oder einer Kombination davon. Die dielektrische Schicht 134 kann mit einem geeigneten Verfahren wie Schleuderbeschichtung, CVD, PVD, ALD oder dergleichen hergestellt werden. Bei einigen Ausführungsformen kann die dielektrische Schicht 134 in einer ähnlichen Weise wie das erste ILD 88 oder das zweite ILD 108 hergestellt werden, die vorstehend beschrieben worden sind.
  • In den 26A und 26B können gemäß einigen Ausführungsformen Öffnungen 138 und Aussparungen 139 erzeugt werden. Die Öffnungen 138 erstrecken sich durch die dielektrische Schicht 134 und die ESL 122, um die Source-/Drainkontakte 118 freizulegen. 26B zeigt eine Ausführungsform, bei der eine einzige Öffnung 138 zwei benachbarte Source-/Drainkontakte 118 freilegt, aber bei anderen Ausführungsformen kann eine einzige Öffnung 138 einen einzigen Source-/Drainkontakt 118 oder mehr als zwei Source-/Drainkontakte 118 freilegen. Die Öffnungen 138 und die Aussparungen 139 können mit geeigneten Fotolithografie- und Ätzverfahren erzeugt werden. Zum Beispiel kann ein Fotoresist (z. B. eine einschichtige oder eine mehrschichtige Fotoresiststruktur) über der dielektrischen Schicht 134 hergestellt werden. Das Fotoresist kann dann strukturiert werden, um die dielektrische Schicht 134 in Bereichen freizulegen, die den Öffnungen 138 entsprechen. Zum Ätzen der Öffnungen 138 können ein oder mehrere geeignete Ätzprozesse unter Verwendung des strukturierten Fotoresists als eine Ätzmaske durchgeführt werden. Der eine oder die mehreren Ätzprozesse können Nassätzprozesse und/oder Trockenätzprozesse sein. Bei einigen Ausführungsformen kann die ESL 122 als eine Ätzstoppschicht verwendet werden, wenn die Öffnungen 138 erzeugt werden. Die Öffnungen 138 können konische Seitenwände haben, wie in 26B gezeigt ist, oder sie können Seitenwände mit einem anderen Profil (z. B. vertikale Seitenwände) haben.
  • Bleiben wir bei 26B, in der Teile der Dichtungsbereiche 123 ebenfalls mit einem oder mehreren Ätzprozessen entfernt werden können, sodass Aussparungen 139 entstehen, die sich in die ersten Luftspalte 120' (siehe 21) erstrecken. Die Ätzprozesse können so gesteuert werden, dass nach dem Erzeugen der Öffnungen 138 die Luftspalte 120 immer noch von verbliebenen Teilen der Dichtungsbereiche 123' abgedichtet werden. Die verbliebenen Teile der Dichtungsbereiche 123' können als „Dichtungen 123“ bezeichnet werden. Durch Verwenden der Dichtungsbereiche 123' zum Abdichten der Luftspalte 120 kann verhindert werden, dass die Luftspalte 120 freigelegt werden, wenn die Öffnungen 138 erzeugt werden, da die verbliebenen Teile der Dichtungsbereiche 123' die Dichtungen 123 bilden. Bei einigen Ausführungsformen können sich die Aussparungen 139 mit einer vertikalen Tiefe D2 von etwa 0 nm bis etwa 15 nm in die ersten Luftspalte 120' erstrecken, aber es sind auch andere Tiefen möglich. Mögliche Abmessungen für die Dichtungen 123 werden später unter Bezugnahme auf 28 näher beschrieben.
  • Darüber hinaus werden durch das Vorhandensein der Dichtungen 123 die Luftspalte 120 geschützt, und später abgeschiedenes leitfähiges Material wird daran gehindert, in die Luftspalte 120 zu gelangen, wodurch die Gefahr eines Leckverlusts zwischen später hergestellten leitfähigen Strukturelementen 136 (siehe 27B) und dem Gatestapel 92/94 verringert wird. Zum Beispiel zeigt 26B zwar, dass die Öffnungen 138 so strukturiert werden, dass sie sich über den Luftspalten 120 erstrecken, aber in anderen Fällen können die Öffnungen 138 unerwünscht so erzeugt werden, dass sie sich z. B. durch eine fehlerhafte Justierung bei der Fotolithografie über den Luftspalten 120 erstrecken. Somit wird mittels der Dichtungen 123 verhindert, dass später abgeschiedenes Material in die Luftspalte 120 gelangt. Durch Steuern der Tiefe D2 der Aussparungen 139 in Bezug zu der vertikalen Strecke D1 (siehe 22) der Dichtungsbereiche 123' können die Position und die Größe der Dichtungen 123 kontrolliert werden, die von einem bestimmten Verwendungszweck oder einer gewünschten Struktur abhängig sind. Zum Beispiel können Dichtungen 123, die eine größere Größe haben, mehr Schutz gegen Leckverlust bieten, oder Dichtungen 123, die eine kleinere Größe haben, können größere Luftspalte 120 ermöglichen und dadurch die parasitäre Kapazität weiter reduzieren. Dies sind lediglich Beispiele, und andere Konfigurationen oder Erwägungen sind ebenfalls möglich.
  • In den 27A und 27B werden gemäß einigen Ausführungsformen leitfähige Strukturelemente 136 so hergestellt, dass sie die Source-/Drainkontakte 118 kontaktieren. 28 zeigt eine Detailansicht eines Bereichs 135 von 27B. Die leitfähigen Strukturelemente 136 können eine oder mehrere Metallleitungen und/oder Durchkontaktierungen umfassen, die einen physischen und elektrischen Kontakt mit den Source-/Drainkontakten 118 herstellen. Die leitfähigen Strukturelemente 136 können zum Beispiel Umverteilungsschichten sein. Die leitfähigen Strukturelemente 136 können mit jedem geeigneten Verfahren hergestellt werden.
  • Bei einigen Ausführungsformen kann das Material für die leitfähigen Strukturelemente 136 mit einem Single- und/oder Dual-Damascene-Prozess, einem Via-First-Prozess oder einem Metal-First-Prozess hergestellt werden. Bei einigen Ausführungsformen wird ein Belag 137 (der in 28 gezeigt ist), wie etwa eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, in den Öffnungen 138 und den Aussparungen 139 hergestellt. Der Belag kann Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen aufweisen und kann mit einem Abscheidungsverfahren wie CVD, ALD oder dergleichen abgeschieden werden. Dann kann ein leitfähiges Material über dem Belag 137 abgeschieden werden. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Cobalt, Aluminium, Nickel oder dergleichen oder eine Kombination davon sein. Das leitfähige Material kann zum Beispiel mit einem elektrochemischen Plattierungsprozess, durch CVD, ALD, PVD oder dergleichen oder eine Kombination davon über dem Belag 137 in den Öffnungen 138 und den Aussparungen 139 abgeschieden werden. Das Material des Belags 137 und/oder das leitfähige Material werden von den Dichtungen 123 daran gehindert, in die Luftspalte 120 zu gelangen. Ein Planarisierungsprozess, wie etwa eine CMP, kann durchgeführt werden, um überschüssiges Material von einer Oberfläche der dielektrischen Schicht 134 zu entfernen. Die verbliebene Schicht 134 und das verbliebene leitfähige Material bilden die leitfähigen Strukturelemente 136. Die leitfähigen Strukturelemente 136 können bei anderen Ausführungsformen auch mit anderen Verfahren abgeschieden werden. Eine Dichtung 123 kann durch ein leitfähiges Strukturelement 136 von einem verbliebenen Teil der ESL 122 (z. B. einem Teil auf dem zweiten ILD 108) getrennt sein, wie in 28 gezeigt ist.
  • 27A zeigt außerdem einen Gatekontakt 132, der physisch und elektrisch mit der Gateelektrode 94 verbunden ist. Die Gatekontakte 132 können zum Beispiel dadurch hergestellt werden, dass mit geeigneten Fotolithografie- und Ätzverfahren eine Öffnung erzeugt wird, die die Gateelektrode 94 freilegt, und dann ein optionaler Belag und ein leitfähiges Material in der Öffnung abgeschieden werden. Die Gatekontakte 132 können vor oder nach der dielektrischen Schicht 134 hergestellt werden. Die Source-/Drainkontakte 118 und die Gatekontakte 132 können in unterschiedlichen Prozessen oder in demselben Prozess hergestellt werden. Bei einigen Ausführungsformen können auch einige leitfähige Strukturelemente 136 hergestellt werden, die die Gatekontakte 132 kontaktieren (in 27A nicht dargestellt).
  • In 28 kann jede Dichtung 123 mit einer Breite hergestellt werden, die etwa gleich der Breite W2 des ersten Luftspalts 120' ist, die vorstehend beschrieben worden ist. Die Breite der Dichtungen 123 kann im Wesentlichen konstant sein, oder die Dichtungen 123 können Seitenwandprofile haben, die konkav, konvex, konisch oder unregelmäßig sind. Die Dichtungen 123 können im Wesentlichen vertikale Seitenwände oder können zumindest teilweise abgewinkelte Seitenwände haben, wie in 28 gezeigt ist. Bei einigen Ausführungsformen können sich die Dichtungen 123 mit einer vertikalen Höhe H3 von etwa 1 nm bis etwa 15 nm erstrecken, aber es sind auch andere Höhen möglich. Bei einigen Ausführungsformen kann die Höhe H3 der Dichtungen 123 etwa 1 % bis etwa 150 % der Dicke T1 des zweiten ILD 108 betragen, aber es können auch andere Prozentsätze verwendet werden. In einigen Fällen kann eine größere Höhe H3 eine bessere Abdichtung der Luftspalte 120 und einen besseren Schutz gegen Kurzschlüsse oder Leckverlust ermöglichen. Bei einigen Ausführungsformen können die Oberseiten der Dichtungen 123 einen vertikalen Abstand D4 über dem Gatestapel haben (d. h., sie befinden sich über der dielektrischen Gateschicht 92 und der Gateelektrode 94), der etwa 0 nm bis etwa 35 nm beträgt, aber es sind auch andere Abstände möglich. Die Oberseiten der Dichtungen 123 können sich über oder unter dem Gatestapel oder ungefähr auf gleicher Höhe mit diesem befinden. In einigen Fällen kann ein größerer Abstand D4 zwischen den Oberseiten der Dichtungen 123 und dem Gatestapel einen besseren Schutz gegen Leckverlust oder Kurzschlüsse zwischen den leitfähigen Strukturelementen 136 und den Gatestapeln ermöglichen. Bei einigen Ausführungsformen können die Dichtungen 123 ein Seitenverhältnis (Breite : Höhe) haben, das etwa 4 : 1 bis etwa 1 : 30 beträgt, aber es können auch andere Seitenverhältnisse verwendet werden. In einigen Fällen können Dichtungen 123, die ein relativ größeres Seitenverhältnis haben, größere Luftspalte 120 ermöglichen, wodurch die Reduzierung der Kapazität verbessert werden kann. Bei einigen Ausführungsformen können die Dichtungen 123 im Wesentlichen ebene Oberseiten und/oder im Wesentlichen ebene Unterseiten haben, die im Wesentlichen horizontal (z. B. parallel zu der Ebene des Substrats 50) sein können oder zu der Horizontalen abgewinkelt sein können. 28 zeigt eine Ausführungsform, bei der die Ober- und Unterseiten der Dichtungen 123 im Wesentlichen eben und im Wesentlichen horizontal sind. Bei anderen Ausführungsformen können die Ober- und/oder die Unterseiten der Dichtungen 123 konvex, konkav, rund oder unregelmäßig sein oder eine andere Form haben.
  • In 28 können Teile der leitfähigen Strukturelemente 136, die die Aussparungen 139 füllen, eine Breite W3 haben, die etwa 0,5 nm bis etwa 4 nm beträgt, aber es sind auch andere Breiten möglich. Die Breite W3 kann ungefähr gleich der Breite W2 des ersten Luftspalts 120' sein, die vorstehend beschrieben worden ist. Die leitfähigen Strukturelemente 136 in den Aussparungen 139 können eine im Wesentlichen konstante Breite haben, oder sie können Seitenwandprofile haben, die konkav, konvex, konisch oder unregelmäßig sind. Die leitfähigen Strukturelemente 136 in den Aussparungen 139 können im Wesentlichen vertikale Seitenwände oder können zumindest teilweise abgewinkelte Seitenwände haben, wie in 28 gezeigt ist. Bei einigen Ausführungsformen können sich die leitfähigen Strukturelemente 136 in den Aussparungen 139 mit einem vertikalen Abstand D3 von etwa 0 nm bis etwa 15 nm unter der Oberseite des zweiten ILD 108 erstrecken, aber es sind auch andere Abstände möglich. Der vertikale Abstand D3 kann ungefähr gleich der vertikalen Tiefe D2 der Aussparungen 139 sein, die unter Bezugnahme auf 26B beschrieben worden ist. Bei einigen Ausführungsformen kann der vertikale Abstand D3 etwa 0 % bis etwa 150 % der Dicke T1 des zweiten ILD 108 betragen, aber es können auch andere Prozentsätze verwendet werden. In einigen Fällen können mit einem kleineren vertikalen Abstand D3 größere Luftspalte 120 erzeugt werden, und dadurch wird eine bessere Reduzierung der Kapazität ermöglicht. Bei einigen Ausführungsformen können die leitfähigen Strukturelemente 136 in den Aussparungen 139 ein Seitenverhältnis (Breite : Höhe) haben, das etwa 10 : 1 bis etwa 1 : 30 beträgt, aber es können auch andere Seitenverhältnisse verwendet werden. In einigen Fällen können mit einem relativ größeren Seitenverhältnis größere Luftspalte 120 erzeugt werden, wodurch die Reduzierung der Kapazität verbessert werden kann. Bei einigen Ausführungsformen können die leitfähigen Strukturelemente 136 in den Aussparungen 139 im Wesentlichen ebene Unterseiten haben, die im Wesentlichen horizontal (z. B. parallel zu der Ebene des Substrats 50) sein können oder zu der Horizontalen abgewinkelt sein können. 28 zeigt eine Ausführungsform, bei der die Unterseiten der leitfähigen Strukturelemente 136 in den Aussparungen 139 im Wesentlichen eben und im Wesentlichen horizontal sind. Bei anderen Ausführungsformen können die Unterseiten der leitfähigen Strukturelemente 136 in den Aussparungen 139 konvex, konkav, rund oder unregelmäßig sein oder eine andere Form haben.
  • Ausführungsformen können verschiedene Vorzüge erzielen. Durch Erzeugen von Luftspalten zwischen den Source-/Drainkontakten und dem Gatestapel einer FinFET-Vorrichtung kann die Kapazität zwischen den Source-/Drainkontakten und dem Gatestapel reduziert werden. Durch das Reduzieren der Kapazität kann die Geschwindigkeit oder der Hochfrequenzbetrieb der FinFET-Vorrichtung verbessert werden. Außerdem werden die Oberseiten der Luftspalte mit verbliebenen Teilen einer darüber befindlichen dielektrischen Schicht abgedichtet, die eine Ätzstoppschicht sein kann. Durch Abdichten der Luftspalte kann verhindert werden, dass unerwünschtes Material in die Luftspalte gelangt und die Vorrichtungsleistung mindert oder Prozessdefekte verursacht. Zum Beispiel können die Dichtungsteile der dielektrischen Schicht die Isolation zwischen einem Source-/Drainkontakt und einem Gate eines FinFET verbessern. In einigen Fällen kann durch Kontrollieren der Dosis eines ALD-Prozesses und/oder der HF-Dauer eines PEALD-Prozesses, die zum Herstellen der dielektrischen Schicht verwendet werden, die Größe oder die Tiefe der in den Luftspalten verbleibenden Teile der dielektrischen Schicht gesteuert werden.
  • Bei einigen Ausführungsformen weist eine Vorrichtung Folgendes auf: eine Finne, die sich von einem Halbleitersubstrat erstreckt; einen Gatestapel über der Finne; einen Abstandshalter auf einer Seitenwand des Gatestapels; einen Source-/Drainbereich in der Finne benachbart zu dem Abstandshalter; eine Zwischenschichtdielektrikum-Schicht (ILD-Schicht), die sich über dem Gatestapel, dem Abstandshalter und dem Source-/Drainbereich erstreckt; einen Kontaktstift, der sich durch die ILD-Schicht erstreckt und den Source-/Drainbereich kontaktiert; eine dielektrische Schicht, die einen ersten Teil auf einer Oberseite der ILD-Schicht und einen zweiten Teil, der sich zwischen der ILD-Schicht und dem Kontaktstift erstreckt, umfasst, wobei eine Oberseite des zweiten Teils näher an dem Substrat als die Oberseite der ILD-Schicht ist; und einen Luftspalt zwischen dem Abstandshalter und dem Kontaktstift, wobei der zweite Teil der dielektrischen Schicht die Oberseite des Luftspalts abdichtet; und ein leitfähiges Material, das sich auf der ILD-Schicht, dem zweiten Teil und dem Kontaktstift erstreckt, wobei der erste Teil durch das leitfähige Material von dem zweiten Teil getrennt ist. Bei einer Ausführungsform ist das leitfähige Material durch den zweiten Teil von dem Luftspalt getrennt. Bei einer Ausführungsform weist die dielektrische Schicht Siliziumnitrid auf. Bei einer Ausführungsform befindet sich die Oberseite des zweiten Teils 0 nm bis 15 nm unter der Oberseite der ILD-Schicht. Bei einer Ausführungsform hat der zweite Teil eine vertikale Dicke von 1 nm bis 15 nm. Bei einer Ausführungsform hat der zweite Teil eine Breite von 0,5 nm bis 4 nm. Bei einer Ausführungsform hat der erste Teil eine vertikale Dicke von 3 nm bis 30 nm. Bei einer Ausführungsform ist eine Unterseite des zweiten Teils weiter von dem Substrat entfernt als eine Unterseite der ILD-Schicht.
  • Bei einigen Ausführungsformen umfasst ein Verfahren die folgenden Schritte: Herstellen einer Finne, die aus einem Substrat herausragt; Herstellen einer Gatestruktur über einem Kanalbereich der Finne; Herstellen eines Gate-Abstandshalters entlang einer Seitenwand der Gatestruktur; Erzeugen eines Epitaxiebereichs in der Finne benachbart zu dem Kanalbereich; Abscheiden einer ersten dielektrischen Schicht über der Gatestruktur und dem Gate-Abstandshalter, wobei die erste dielektrische Schicht ein erstes dielektrisches Material aufweist; Herstellen eines Kontaktstifts so, dass er sich durch die erste dielektrische Schicht erstreckt und den Epitaxiebereich kontaktiert, wobei ein Luftspalt den Kontaktstift und den Gate-Abstandshalter trennt; Abscheiden einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht und über dem Kontaktstift, wobei das Abscheiden ein Abdichten eines unteren Bereichs des Luftspalts mit der zweiten dielektrischen Schicht umfasst, wobei die zweite dielektrische Schicht ein zweites dielektrisches Material aufweist, das von dem ersten dielektrischen Material verschieden ist; Ätzen der zweiten dielektrischen Schicht, um den Kontaktstift freizulegen, wobei nach dem Ätzen der zweiten dielektrischen Schicht ein verbliebener Teil der zweiten dielektrischen Schicht den unteren Bereich des Luftspalts abdichtet; und Abscheiden eines leitfähigen Materials auf dem Kontaktstift, wobei das Abscheiden ein Abscheiden des leitfähigen Materials zwischen dem Kontaktstift und dem Gate-Abstandshalter und auf dem Teil der zweiten dielektrischen Schicht umfasst. Bei einer Ausführungsform trennt ein oberer Bereich des Luftspalts die erste dielektrische Schicht und den Kontaktstift. Bei einer Ausführungsform ist eine Dicke des verbliebenen Teils der zweiten dielektrischen Schicht kleiner als eine Dicke der ersten dielektrischen Schicht. Bei einer Ausführungsform ist der verbliebene Teil der zweiten dielektrischen Schicht näher an dem Substrat als eine Oberseite der ersten dielektrischen Schicht. Bei einer Ausführungsform umfasst das Abscheiden des leitfähigen Materials ein Abscheiden des leitfähigen Materials auf einer Oberseite der ersten dielektrischen Schicht. Bei einer Ausführungsform erstreckt sich der verbliebene Teil der zweiten dielektrischen Schicht von der ersten dielektrischen Schicht bis zu einer Abstandshalterschicht auf dem Kontaktstift.
  • Bei einigen Ausführungsformen umfasst ein Verfahren die folgenden Schritte: Herstellen eines Gatestapels über einer Halbleiterfinne; Erzeugen eines Source-/Drain-Epitaxiebereichs in der Halbleiterfinne benachbart zu dem Gatestapel; Abscheiden einer ersten dielektrischen Schicht über dem Gatestapel und über dem Source-/Drain-Epitaxiebereich; Erzeugen einer Öffnung in der ersten dielektrischen Schicht, um den Source-/Drain-Epitaxiebereich freizulegen; Abscheiden eines Opfermaterials in der Öffnung; Abscheiden eines ersten leitfähigen Materials über dem Opfermaterial in der Öffnung; Entfernen des Opfermaterials, um einen Spalt zu erzeugen; Abscheiden einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht, über dem leitfähigen Material und über dem Spalt, wobei sich die zweite dielektrische Schicht mit einer ersten Tiefe in den Spalt erstreckt; und Ätzen der zweiten dielektrischen Schicht, um das erste leitfähige Material freizulegen, wobei erste Teile der zweiten dielektrischen Schicht nach dem Ätzen in dem Spalt verbleiben. Bei einer Ausführungsform umfasst das Abscheiden der zweiten dielektrischen Schicht ein Abscheiden von Siliziumnitrid mit einem PEALD-Prozess (PEALD: plasmaunterstützte Atomlagenabscheidung). Bei einer Ausführungsform umfasst das Ätzen der zweiten dielektrischen Schicht ein Ätzen von zweiten Teilen der zweiten dielektrischen Schicht in dem Spalt. Bei einer Ausführungsform umfasst das Verfahren weiterhin ein Abscheiden eines zweiten leitfähigen Materials auf dem ersten leitfähigen Material und auf den ersten Teilen der zweiten dielektrischen Schicht..

Claims (20)

  1. Vorrichtung mit: einer Finne (52), die sich von einem Halbleitersubstrat (50) erstreckt; einem Gatestapel (92, 94) über der Finne (52); einem Abstandshalter (86) auf einer Seitenwand des Gatestapels (92, 94); (86);einem Source-/Drainbereich (82) in der Finne (52) benachbart zu dem Abstandshalter einer Zwischenschichtdielektrikum-Schicht ILD-Schicht (108), die sich über dem Gatestapel (92, 94), dem Abstandshalter (86) und dem Source-/Drainbereich (82) erstreckt; einem Kontaktstift (118), der sich durch die ILD-Schicht (108) erstreckt und den Source-/Drainbereich (82) kontaktiert; einer dielektrischen Schicht (122), die einen ersten Teil auf einer Oberseite der ILD-Schicht (108) und einen zweiten Teil (123), der sich zwischen der ILD-Schicht (108) und dem Kontaktstift (118) erstreckt, umfasst, wobei eine Oberseite des zweiten Teils näher an dem Substrat (50) als die Oberseite der ILD-Schicht (108) ist; und einem Luftspalt (120) zwischen dem Abstandshalter (86) und dem Kontaktstift (118), wobei der zweite Teil (123) der dielektrischen Schicht (122) die Oberseite des Luftspalts (120) abdichtet; und einem leitfähigen Material (136), das sich auf der ILD-Schicht (108), dem zweiten Teil (123) und dem Kontaktstift (118) erstreckt, wobei der erste Teil durch das leitfähige Material (136) von dem zweiten Teil (123) der dielektrischen Schicht (122) getrennt ist.
  2. Vorrichtung nach Anspruch 1, wobei der Luftspalt (120) eine vertikale Höhe (H2) von etwa 10 nm bis etwa 80 nm aufweist.
  3. Vorrichtung nach Anspruch 2, wobei das leitfähige Material (136) durch den zweiten Teil (123) der dielektrischen Schicht (122) von dem Luftspalt (120) getrennt ist.
  4. Vorrichtung nach Anspruch 2 oder 3, wobei der zweite Teil (123) der dielektrischen Schicht (122) ein Seitenverhältnis Breite : Höhe hat, das etwa 4 : 1 bis etwa 1 : 30 beträgt.
  5. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die dielektrische Schicht (122) Siliziumnitrid aufweist.
  6. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei sich die Oberseite des zweiten Teils (123) der dielektrischen Schicht (122) 0 nm bis 15 nm unter der Oberseite der ILD-Schicht (108) befindet.
  7. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei der zweite Teil (123) der dielektrischen Schicht (122) eine vertikale Dicke (H3) von 1 nm bis 15 nm hat.
  8. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei der zweite Teil (123) der dielektrischen Schicht (122) eine Breite (W2) von 0,5 nm bis 4 nm hat.
  9. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei der erste Teil der dielektrischen Schicht (122) eine vertikale Dicke (T2) von 3 nm bis 30 nm hat.
  10. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei eine Unterseite des zweiten Teils (123) der dielektrischen Schicht (122) weiter von dem Substrat (50) entfernt ist als eine Unterseite der ILD-Schicht (108).
  11. Verfahren mit den folgenden Schritten: Herstellen einer Finne (52), die aus einem Substrat (50) herausragt; Herstellen einer Gatestruktur (92, 94) über einem Kanalbereich (58) der Finne (52); Herstellen eines Gate-Abstandshalters (86) entlang einer Seitenwand der Gatestruktur (92, 94); Erzeugen eines Epitaxiebereichs (82) in der Finne (52) benachbart zu dem Kanalbereich (58); Abscheiden einer ersten dielektrischen Schicht (108) über der Gatestruktur (92, 94) und dem Gate-Abstandshalter (86), wobei die erste dielektrische Schicht (108) ein erstes dielektrisches Material aufweist; Herstellen eines Kontaktstifts (118) so, dass er sich durch die erste dielektrische Schicht (108) erstreckt und den Epitaxiebereich (82) kontaktiert, wobei ein Luftspalt (120) den Kontaktstift (118) und den Gate-Abstandshalter (86) trennt; Abscheiden einer zweiten dielektrischen Schicht (122) über der ersten dielektrischen Schicht (108) und über dem Kontaktstift (118), wobei das Abscheiden ein Abdichten eines unteren Bereichs des Luftspalts (120) mit der zweiten dielektrischen Schicht (122) umfasst, wobei die zweite dielektrische Schicht (122) ein zweites dielektrisches Material aufweist, das von dem ersten dielektrischen Material verschieden ist; Ätzen der zweiten dielektrischen Schicht (122), um den Kontaktstift (118) freizulegen, wobei nach dem Ätzen der zweiten dielektrischen Schicht (122) ein verbliebener Teil (123) der zweiten dielektrischen Schicht (122) den unteren Bereich des Luftspalts (120) abdichtet; und Abscheiden eines leitfähigen Materials (136) auf dem Kontaktstift (118), wobei das Abscheiden ein Abscheiden des leitfähigen Materials (136) zwischen dem Kontaktstift (118) und dem Gate-Abstandshalter (86) und auf dem Teil (123) der zweiten dielektrischen Schicht (122) umfasst.
  12. Verfahren nach Anspruch 11, wobei ein oberer Bereich des Luftspalts (120) die erste dielektrische Schicht (108) und den Kontaktstift (118) trennt.
  13. Verfahren nach Anspruch 11 oder 12, wobei die Dicke des verbliebenen Teils (123) der zweiten dielektrischen Schicht (122) kleiner als die Dicke der ersten dielektrischen Schicht (108) ist.
  14. Verfahren nach einem der Ansprüche 11 bis 13, wobei der verbliebene Teil (123) der zweiten dielektrischen Schicht (122) näher an dem Substrat (50) als eine Oberseite der ersten dielektrischen Schicht (108) ist.
  15. Verfahren nach einem der Ansprüche 11 bis 14, wobei das Abscheiden des leitfähigen Materials (136) ein Abscheiden des leitfähigen Materials auf einer Oberseite der ersten dielektrischen Schicht (108) umfasst.
  16. Verfahren nach einem der Ansprüche 11 bis 15, wobei sich der verbliebene Teil (123) der zweiten dielektrischen Schicht (122) von der ersten dielektrischen Schicht (108) bis zu einer Abstandshalterschicht auf dem Kontaktstift (118) erstreckt.
  17. Verfahren mit den folgenden Schritten: Herstellen eines Gatestapels (92, 94) über einer Halbleiterfinne (52); Erzeugen eines Source-/Drain-Epitaxiebereichs (82) in der Halbleiterfinne (52) benachbart zu dem Gatestapel (92, 94); Abscheiden einer ersten dielektrischen Schicht (108) über dem Gatestapel (92, 94) und über dem Source-/Drain-Epitaxiebereich (82); Erzeugen einer Öffnung (110) in der ersten dielektrischen Schicht (108), um den Source-/Drain-Epitaxiebereich (82) freizulegen; Abscheiden eines Opfermaterials (112) in der Öffnung (110); Abscheiden eines ersten leitfähigen Materials (118) über dem Opfermaterial (112) in der Öffnung (110); Entfernen des Opfermaterials (112), um einen Spalt (120') zu erzeugen; Abscheiden einer zweiten dielektrischen Schicht (122) über der ersten dielektrischen Schicht (108), über dem leitfähigen Material (118) und über dem Spalt (120'), wobei sich die zweite dielektrische Schicht (122) mit einer ersten Tiefe (D1) in den Spalt (120') erstreckt; und Ätzen der zweiten dielektrischen Schicht (122), um das erste leitfähige Material (108) freizulegen, wobei erste Teile (123') der zweiten dielektrischen Schicht (122) nach dem Ätzen in dem Spalt (120') verbleiben.
  18. Verfahren nach Anspruch 17, wobei das Abscheiden der zweiten dielektrischen Schicht (122) ein Abscheiden von Siliziumnitrid mit einem PEALD-Prozess (PEALD: plasmaunterstützte Atomlagenabscheidung) umfasst.
  19. Verfahren nach Anspruch 17 oder 18, wobei das Ätzen der zweiten dielektrischen Schicht (122) ein Ätzen von zweiten Teilen der zweiten dielektrischen Schicht (122) in dem Spalt (120') umfasst.
  20. Verfahren nach einem der Ansprüche 17 bis 19, das weiterhin ein Abscheiden eines zweiten leitfähigen Materials (136) auf dem ersten leitfähigen Material (118) und auf den ersten Teilen (123') der zweiten dielektrischen Schicht (122) umfasst.
DE102021100840.9A 2021-01-15 2021-01-18 Finfet-vorrichtung und verfahren Active DE102021100840B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/150,044 2021-01-15
US17/150,044 US20220231023A1 (en) 2021-01-15 2021-01-15 Finfet device and method

Publications (2)

Publication Number Publication Date
DE102021100840A1 DE102021100840A1 (de) 2022-07-21
DE102021100840B4 true DE102021100840B4 (de) 2023-01-12

Family

ID=81668567

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021100840.9A Active DE102021100840B4 (de) 2021-01-15 2021-01-18 Finfet-vorrichtung und verfahren

Country Status (5)

Country Link
US (2) US20220231023A1 (de)
KR (1) KR102546906B1 (de)
CN (1) CN114551400A (de)
DE (1) DE102021100840B4 (de)
TW (1) TWI808458B (de)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200105867A1 (en) 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Self-Aligned Contact Air Gap Formation
US20200411415A1 (en) 2019-06-28 2020-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Air Gap Seal for Interconnect Air Gap and Method of Fabricating Thereof
DE102020110754A1 (de) 2020-04-09 2021-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6180988B1 (en) * 1997-12-04 2001-01-30 Texas Instruments-Acer Incorporated Self-aligned silicided MOSFETS with a graded S/D junction and gate-side air-gap structure
US7691712B2 (en) * 2006-06-21 2010-04-06 International Business Machines Corporation Semiconductor device structures incorporating voids and methods of fabricating such structures
US7994040B2 (en) * 2007-04-13 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication thereof
US7915659B2 (en) * 2008-03-06 2011-03-29 Micron Technology, Inc. Devices with cavity-defined gates and methods of making the same
US7838373B2 (en) * 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
US8232618B2 (en) * 2010-08-11 2012-07-31 International Business Machines Corporation Semiconductor structure having a contact-level air gap within the interlayer dielectrics above a semiconductor device and a method of forming the semiconductor structure using a self-assembly approach
US8390079B2 (en) * 2010-10-28 2013-03-05 International Business Machines Corporation Sealed air gap for semiconductor chip
US20120199886A1 (en) * 2011-02-03 2012-08-09 International Business Machines Corporation Sealed air gap for semiconductor chip
KR20120121795A (ko) * 2011-04-27 2012-11-06 에스케이하이닉스 주식회사 에어 갭을 포함하는 스페이서를 구비한 반도체 소자의 제조방법
CN102214595B (zh) * 2011-05-26 2012-10-10 北京大学 一种空气为侧墙的围栅硅纳米线晶体管的制备方法
US8471343B2 (en) * 2011-08-24 2013-06-25 International Bussiness Machines Corporation Parasitic capacitance reduction in MOSFET by airgap ild
US8637930B2 (en) * 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
US20130299920A1 (en) * 2012-05-08 2013-11-14 Haizhou Yin Semiconductor device and method for manufacturing the same
KR20140025799A (ko) * 2012-08-22 2014-03-05 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8841711B1 (en) * 2013-03-12 2014-09-23 Globalfoundries Inc. Methods of increasing space for contact elements by using a sacrificial liner and the resulting device
US9871121B2 (en) * 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
KR102321390B1 (ko) * 2014-12-18 2021-11-04 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
US9716158B1 (en) 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
DE102017113681A1 (de) 2016-12-14 2018-06-14 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement mit luft-abstandshalter
KR102365108B1 (ko) * 2017-08-01 2022-02-18 삼성전자주식회사 집적회로 장치
US10861953B2 (en) 2018-04-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US10755970B2 (en) 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structures
US10811515B2 (en) * 2018-09-18 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having air-gap spacers
US10971408B2 (en) 2018-10-31 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact air gap formation and structures thereof
US11527444B2 (en) * 2019-09-25 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer formation for semiconductor devices
US20230038952A1 (en) * 2021-08-05 2023-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive features with air spacer and method of forming same
US20230052295A1 (en) * 2021-08-13 2023-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with air spacer and method
US20230067799A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap and method of fabricating thereof
US20230068664A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device With Air-Gap Spacers
US11876114B2 (en) * 2021-09-07 2024-01-16 International Business Machines Corporation Airgap gate spacer
KR20230039869A (ko) * 2021-09-14 2023-03-22 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200105867A1 (en) 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Self-Aligned Contact Air Gap Formation
US20200411415A1 (en) 2019-06-28 2020-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Air Gap Seal for Interconnect Air Gap and Method of Fabricating Thereof
DE102020110754A1 (de) 2020-04-09 2021-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren

Also Published As

Publication number Publication date
CN114551400A (zh) 2022-05-27
US20220231023A1 (en) 2022-07-21
US20240021619A1 (en) 2024-01-18
TWI808458B (zh) 2023-07-11
KR102546906B1 (ko) 2023-06-22
DE102021100840A1 (de) 2022-07-21
TW202230610A (zh) 2022-08-01
KR20220103579A (ko) 2022-07-22

Similar Documents

Publication Publication Date Title
DE102019117191B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102020110754A1 (de) Halbleitervorrichtung und verfahren
DE102020109608A1 (de) Dummy-finnen und verfahren zu deren herstellung
DE102018113168A1 (de) Nicht konforme oxidauskleidung und herstellungsverfahren dafür
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102020114655B4 (de) Finnen-feldeffekttransistorvorrichtung mit kontaktstopfen mit einspringendem profil und verfahren zu dessen herstellung
DE102018125383A1 (de) FinFET Vorrichtung und Verfahren zu deren Bildung
DE102020101405B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020115408A1 (de) Halbleitervorrichtung und verfahren
DE102019101165A1 (de) Gate-abstandshalterstruktur und verfahren zu deren herstellung
DE102020129257B4 (de) Abstandhalter für Halbleitervorrichtungen, die rückseitige Stromschienen aufweisen
DE102021100840B4 (de) Finfet-vorrichtung und verfahren
DE102021112360A1 (de) Halbleitervorrichtung und verfahren
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102020132620A1 (de) Halbleitervorrichtung und Verfahren
DE102020133689A1 (de) Ionenimplantation für nano-fet
DE102021116786B4 (de) Verfahren zur herstellung einer halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final