DE102020107564A1 - Kern-mantel-nanostrukturen für halbleitervorrichtungen - Google Patents

Kern-mantel-nanostrukturen für halbleitervorrichtungen Download PDF

Info

Publication number
DE102020107564A1
DE102020107564A1 DE102020107564.2A DE102020107564A DE102020107564A1 DE 102020107564 A1 DE102020107564 A1 DE 102020107564A1 DE 102020107564 A DE102020107564 A DE 102020107564A DE 102020107564 A1 DE102020107564 A1 DE 102020107564A1
Authority
DE
Germany
Prior art keywords
nanostructured
regions
layers
cladding
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020107564.2A
Other languages
English (en)
Inventor
Cheng-Yi Peng
Song-Bor Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020107564A1 publication Critical patent/DE102020107564A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Es werden die Struktur einer Halbleitervorrichtung mit nanostrukturierten Kern-Mantel-Kanalregionen zwischen Source-/Drain-Regionen von FET-Vorrichtungen sowie ein Verfahren zur Herstellung der Halbleitervorrichtung offenbart. Eine Halbleitervorrichtung umfasst ein Substrat, einen Stapel nanostrukturierter Schichten mit ersten und zweiten nanostrukturierten Regionen, der auf dem Substrat angeordnet ist, und nanostrukturierte Mantelregionen, die sich um die zweiten nanostrukturierten Regionen herum legen. Die nanostrukturierten Mantelregionen und die zweiten nanostrukturierten Regionen enthalten Halbleitermaterialien, die voneinander verschieden sind. Die Halbleitervorrichtung umfasst des Weiteren erste und zweite Source/Drain-Regionen (S/D-Regionen), die auf dem Substrat angeordnet sind, und eine Gate-All-Around-Struktur (GAA-Struktur), die zwischen den ersten und zweiten S/D-Regionen angeordnet ist. Jede der ersten und zweiten S/D-Regionen weist eine epitaxiale Region auf, die um jede der ersten nanostrukturierten Regionen herum gelegt ist, und die GAA-Struktur ist um jede der nanostrukturierten Mantelregionen herum gelegt.

Description

  • TECHNISCHER HINTERGRUND
  • Im Zuge des Fortschritts der Halbleitertechnologie ist die Nachfrage nach höherer Speicherkapazität, schnelleren Verarbeitungssystemen und höherer Leistung gestiegen. Um dieser Nachfrage gerecht zu werden, arbeitet die Halbleiterindustrie unablässig daran, die Abmessungen von Halbleitervorrichtungen wie zum Beispiel Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFETs), einschließlich planarer MOSFETs und Finnen-Feldeffekttransistoren (FinFETs), zu verringern (Downscaling). Eine solche Abwärtsskalierung hat die Komplexität der Halbleiterherstellungsprozesse erhöht.
  • Figurenliste
  • Aspekte dieser Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung beliebig vergrößert oder verkleinert sein.
    • 1A und 1B-1D veranschaulichen eine isometrische Ansicht bzw. Querschnittsansichten einer Halbleitervorrichtung mit Kern-Mantel-Nanostrukturen gemäß einigen Ausführungsformen.
    • 1E und 1F-1G veranschaulichen eine isometrische Ansicht bzw. Querschnittsansichten einer Halbleitervorrichtung mit Passivierungsschichten gemäß einigen Ausführungsformen.
    • 2A-2D veranschaulichen Querschnittsansichten verschiedener Konfigurationen einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 3 ist ein Flussdiagramm eines Verfahrens zur Herstellung einer Halbleitervorrichtung mit Kern-Mantel-Nanostrukturen gemäß einigen Ausführungsformen.
    • 4A-23A veranschaulichen isometrische Ansichten einer Halbleitervorrichtung mit inneren und äußeren Abstandshalterstrukturen auf verschiedenen Stufen ihres Herstellungsprozesses gemäß einigen Ausführungsformen.
    • 4B-23B, 9C-23C und 9D-23D veranschaulichen Querschnittsansichten einer Halbleitervorrichtung mit inneren und äußeren Abstandshalterstrukturen auf verschiedenen Stufen ihres Herstellungsprozesses gemäß einigen Ausführungsformen.
  • Es werden nun veranschaulichende Ausführungsformen mit Bezug auf die beiliegenden Zeichnungen beschrieben. In den Zeichnungen bezeichnen gleiche Bezugszahlen allgemein identische, funktional ähnliche und/oder strukturell ähnliche Elemente.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des hier besprochenen Gegenstandes bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und dienen nicht der Einschränkung. Zum Beispiel kann der Prozess des Ausbildens eines ersten Merkmals über einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen enthalten, bei denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und können auch Ausführungsformen enthalten, bei denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht unbedingt in direktem Kontakt stehen. Im Sinne des vorliegenden Textes meint die Ausbildung eines ersten Strukturelements auf einem zweiten Strukturelement, dass das erste Strukturelement in direktem Kontakt mit dem zweiten Strukturelement gebildet wird. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oderbuchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen können im vorliegenden Text zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den FIG. veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen auch andere Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb neben der in den FIG. gezeigten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht, oder sonstige Ausrichtungen), und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Es ist anzumerken, dass Verweise in der Spezifikation auf „eine einzelne Ausführungsform“, „eine Ausführungsform“, „eine beispielhafte Ausführungsform“, „beispielhaft“ usw. darauf hindeuten, dass die beschriebene Ausführungsform ein bestimmtes Merkmal, eine bestimmte Struktur oder eine bestimmte Eigenschaft enthalten kann, dass aber nicht unbedingt jede Ausführungsform das bestimmte Merkmal, die bestimmte Struktur oder die bestimmte Eigenschaft enthalten muss. Darüber hinaus beziehen sich solche Phrasen nicht unbedingt auf die gleiche Ausführungsform. Wenn des Weiteren ein bestimmtes Merkmal, eine bestimmte Struktur oder eine bestimmte Eigenschaft in Verbindung mit einer Ausführungsform beschrieben wird, so liegt es im Rahmen der Möglichkeiten des Fachmanns, ein solches Merkmal, eine solche Struktur oder eine solche Eigenschaft auch in Verbindung mit anderen Ausführungsformen zu realisieren, seien sie nun ausdrücklich beschrieben oder nicht.
  • Es versteht sich, dass die Phraseologie oder Terminologie im vorliegenden Text dem Zweck der Beschreibung und nicht der Einschränkung dient, insofern, als die in der vorliegenden Spezifikation verwendete Terminologie oder Phraseologie durch den einschlägig bewanderten Fachmann im Sinne der im vorliegenden Text enthaltenen Lehren zu interpretieren ist.
  • Im Sinne des vorliegenden Textes bezieht sich der Begriff „Ätzselektivität“ auf das Verhältnis der Ätzraten von zwei verschiedenen Materialien unter den gleichen Ätzbedingungen.
  • Im Sinne des vorliegenden Textes bezieht sich der Begriff „Abscheidungsselektivität“ auf das Verhältnis der Abscheidungsraten auf zwei verschiedenen Materialien oder Oberflächen unter den gleichen Abscheidungsbedingungen.
  • Im Sinne des vorliegenden Textes bezieht sich der Begriff „mit hohem k-Wert“ auf eine hohe Dielektrizitätskonstante. Im Bereich der Strukturen und Herstellungsprozesse von Halbleitervorrichtungen bezieht sich „mit hohem k-Wert“ auf eine Dielektrizitätskonstante, die größer als die Dielektrizitätskonstante von SiO2 ist zum Beispiel größer als 3,9).
  • Im Sinne des vorliegenden Textes definiert der Begriff „p-Typ“ eine Struktur, eine Schicht und/oder eine Region als mit Dotanden vom p-Typ, wie zum Beispiel Bor, dotiert.
  • Im Sinne des vorliegenden Textes definiert der Begriff „n-Typ“ eine Struktur, eine Schicht und/oder eine Region als mit Dotanden vom n-Typ, wie zum Beispiel Phosphor, dotiert.
  • Im Sinne des vorliegenden Textes definiert der Begriff „nanostrukturiert“ eine Struktur, eine Schicht und/oder eine Region als mit einer horizontalen Dimension (zum Beispiel entlang einer X- und/oder Y-Achse) und/oder einer vertikalen Dimension (zum Beispiel entlang einer Z-Achse) von weniger als 100 nm.
  • In einigen Ausführungsformen können die Begriffe „etwa“ und „im Wesentlichen“ einen Wert einer bestimmten Quantität angeben, der innerhalb von 5 % des Wertes (zum Beispiel ±1 %, ±2 %, ±3 %, ±4 %, ±5 % des Wertes) variiert. Diese Werte sind natürlich nur Beispiele und sind nicht als Einschränkung gedacht. Es versteht sich, dass sich die Begriffe „ungefähr“ und „im Wesentlichen“ auf einen Prozentsatz der Werte beziehen können, wie er vom einschlägig bewanderten Fachmann vor dem Hintergrund der im vorliegenden Text beschriebenen Lehren interpretiert wird.
  • Die hier offenbarten Finnenstrukturen können durch jedes geeignete Verfahren strukturiert werden. Zum Beispiel können die Finnenstrukturen mittels eines oder mehrerer Photolithografieprozesse, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Fotolithografie- und selbstausrichtende Prozesse, wodurch Strukturen erzeugt werden können, die zum Beispiel Mittenabstände haben, die kleiner sind als die, die ansonsten durch einen einzelnen, direkten Fotolithografieprozess erhalten werden können. Zum Beispiel wird in einigen Ausführungsformen eine Opferschicht über einem Substrat gebildet und mittels eines Photolithografieprozesses strukturiert. Abstandshalter werden entlang der strukturierten Opferschicht mittels eines selbstausrichtenden Prozesses gebildet. Die Opferschicht wird dann entfernt, und die verbleibenden Abstandshalter können dann zum Strukturieren der Finnenstrukturen verwendet werden.
  • Die vorliegende Offenlegung stellt beispielhafte Strukturen und Verfahren zum Verbessern der Ladungsträgermobilität (zum Beispiel Loch- und/oder Elektronenmobilität) in FET-Vorrichtungen (zum Beispiel FinFETs, Gate-All-Around-FETs usw.) bereit. Durch Erhöhen der Ladungsträgermobilität können die Schaltgeschwindigkeiten und Ansteuerungsströme von FET-Vorrichtungen erhöht werden, was zu einer schnelleren und verbesserten Leistung von FET-Vorrichtungen führt.
  • Die beispielhaften Strukturen und Verfahren stellen Kanalregionen mit Kern-Mantel-Nanostrukturen zwischen Source/Drain-Regionen (S/D-Regionen) von FET-Vorrichtungen bereit. In einigen Ausführungsformen können die Kern-Mantel-Nanostrukturen nanostrukturierte Kernregionen enthalten, um die sich epitaxial gezüchtete nanostrukturierte Mantelregionen herum legen. Die Kern-Mantel-Nanostrukturen können so konfiguriert werden, dass sie Zug- oder Druckverspannungen in den Kanalregionen für FET-Vorrichtungen vom n- bzw. p-Typ induzieren. Die Zug- oder Druckverspannung kann in den Kanalregionen induziert werden, indem zum Beispiel die nanostrukturierten Kern- oder Mantelregionen dotiert werden oder gitterfehlanpasste Halbleitermaterialien für die nanostrukturierten Kern- oder Mantelregionen verwendet werden. Eine solche induzierte Verspannung in den Kanalregionen kann die Mobilität von Ladungsträgern in den Kanalregionen verbessern. Die Kern-Mantel-Nanostrukturen können des Weiteren dafür konfiguriert werden, den Energiebandabstand der Kanalregionen abzustimmen und/oder die Kristallorientierung der Flächenebenen der Kanalregionen auf der Grundlage des Leitfähigkeitstyps der FET-Vorrichtungen zu modifizieren, um die Mobilität der Ladungsträger in den Kanalregionen zu verbessern. Die hier beschriebenen Kanalregionen mit Kern-Mantel-Nanostrukturen können die Schaltgeschwindigkeiten und Ansteuerungsströme von FET-Vorrichtungen im Vergleich zu FET-Vorrichtungen ohne solche Kern-Mantel-Nanostrukturen um beispielsweise etwa 20% bis etwa 40% und etwa 30% bis etwa 50% erhöhen.
  • Eine Halbleitervorrichtung 100, die FETs 102A-102B aufweist, wird unter Bezug auf 1A-1D gemäß einigen Ausführungsformen beschrieben. 1A veranschaulicht eine isometrische Ansicht der Halbleitervorrichtung 100 gemäß einigen Ausführungsformen. 1B-1D veranschaulicht Querschnittsansichten entlang der Linien B-B, C-C und D-D der Halbleitervorrichtung 100 von 1A gemäß einigen Ausführungsformen. In einigen Ausführungsformen können die FETs 102A-102B sowohl FETs vom p-Typ als auch FETs vom n-Typ oder ein FET von jedem Leitfähigkeitstyp sein. Auch wenn zwei FETs unter Bezug auf die 1A-1D besprochen werden, kann die Halbleitervorrichtung 100 jede beliebige Anzahl von FETs haben. Die Besprechung der Elemente der FETs 102A-102B mit den gleichen Anmerkungen gilt, sofern nicht anders angemerkt, für einander. Die isometrische Ansicht und die Querschnittsansichten der Halbleitervorrichtung 100 dienen veranschaulichenden Zwecken und müssen nicht maßstabsgetreu gezeichnet sein.
  • Wie in den 1A-1D zu sehen, können die FETs 102A-102B auf einem Substrat 106 gebildet werden. Das Substrat 106 kann ein Halbleitermaterial wie zum Beispiel Silizium sein. In einigen Ausführungsformen kann das Substrat 106 ein kristallines Siliziumsubstrat (zum Beispiel Wafer) aufweisen. In einigen Ausführungsformen kann das Substrat 106 enthalten: (i) einen elementaren Halbleiter wie zum Beispiel Germanium (Ge); (ii) einen Verbundhalbleiter, der Siliziumcarbid (SiC), Siliziumarsenid (SiAs), Galliumarsenid (GaAs), Galliumphosphid (GaP), Indiumphosphid (InP), Indiumarsenid (InAs), Indiumantimonid (InSb) und/oder ein III-V-Halbleitermaterial enthält; (iii) einen Legierungshalbleiter, der Siliziumgermanium (SiGe), Siliziumgermaniumcarbid (SiGeC), Germaniumstannum (GeSn), Siliziumgermaniumstannum (SiGeSn), Galliumarsenphosphid (GaAsP) enthält, Galliumindiumphosphid (GaInP), Galliumindiumarsenid (GaInAs), Galliumindiumarsenphosphid (GaInAsP), Aluminiumindiumarsenid (AlAs) und/oder Aluminiumgalliumarsenid (AlGaAs) enthält; (iv) eine Silizium-auf-Isolator-Struktur (SOI-Struktur); (v) eine Siliziumgermanium (SiGe)-auf-Isolator-Struktur (SiGeOI-Struktur); (vi) eine Germanium-auf-Isolator-Struktur (GeOI-Struktur); oder (vii) eine Kombination davon. Darüber hinaus kann das Substrat 106 je nach den Designanforderungen dotiert werden (zum Beispiel ein Substrat vom p-Typ oder ein Substrat vom n-Typ). In einigen Ausführungen kann das Substrat 106 mit Dotanden vom p-Typ (zum Beispiel Bor, Indium, Aluminium oder Gallium) oder Dotanden vom n-Typ (zum Beispiel Phosphor oder Arsen) dotiert werden.
  • Die FETs 102A-102B können Finnenstrukturen 108A-108B, Passivierungsschichten 109A-109B, epitaxiale Finnenregionen 110A-110B, Gate-Strukturen 112A-112B (auch als Gate-All-Around-Strukturen (GAA-Strukturen) 112A-112B bezeichnet), innere Abstandshalter 113A-113B bzw. äußere Abstandshalter 114A-114B aufweisen.
  • Wie in 1B-1D gezeigt, kann die Finnenstruktur 108A einen Finnenbasisabschnitt 119A und einen Stapel aus ersten Halbleiterschichten 120, der auf dem Finnenbasisabschnitt 119A angeordnet ist, aufweisen, und die Finnenstruktur 108B kann einen Finnenbasisabschnitt 119B und einen Stapel aus zweiten Halbleiterschichten 122 aufweisen. In einigen Ausführungsformen können die Finnenbasisabschnitte 119A-119B ein dem Substrat 106 ähnliches Material enthalten. Die Finnenbasisabschnitte 119A-119B können durch photolithografische Strukturierung und Ätzen des Substrats 106 gebildet werden. Die ersten und zweiten Halbleiterschichten 120 und 122 können Halbleitermaterialien enthalten, die voneinander verschieden sind. In einigen Ausführungsformen können die ersten und zweiten Halbleiterschichten 120 und 122 Halbleitermaterialien mit voneinander verschiedenen Oxidationsraten und/oder Ätzselektivitäten enthalten. In einigen Ausführungsformen können die ersten und zweiten Halbleiterschichten 120 und 122 Halbleitermaterialien enthalten, die dem Substrat 106 ähnlich sind oder sich von diesem unterscheiden. Die ersten und zweiten Halbleiterschichten 120 und 122 können enthalten: (i) einen elementaren Halbleiter wie zum Beispiel Silizium oder Germanium; (ii) einen Verbundhalbleiter, der ein III-V-Halbleitermaterial enthält; (iii) einen Legierungshalbleiter, der SiGe, Germaniumstannum oder Siliziumgermaniumstannum enthält; oder (iv) eine Kombination davon.
  • In einigen Ausführungsformen können die ersten und zweiten Halbleiterschichten 120 und 122 SiGe mit Ge in einem Bereich von etwa 25 Atomprozent bis etwa 50 Atomprozent enthalten, wobei jedes verbleibende Atomprozent Si ist, oder können Si ohne einen wesentlichen Anteil von Ge (zum Beispiel kein Ge) enthalten. Die Halbleitermaterialien der ersten und/oder der zweiten Halbleiterschicht 120 und 122 können undotiert sein oder können während ihres epitaxialen Wachstumsprozesses unter Verwendung von (i) Dotanden vom p-Typ, wie zum Beispiel Bor, Indium oder Gallium; und/oder (ii) Dotanden vom n-Typ, wie zum Beispiel Phosphor oder Arsen, in-situ dotiert werden. In einigen Ausführungsformen können die ersten Halbleiterschichten 120 Si, SiAs, Siliziumphosphid (SiP), SiC oder Siliziumkohlenstoffphosphid (SiCP) für den FET 102A vom n-Typ enthalten, oder können SiGe, Siliziumgermaniumbor (SiGeB), Germaniumbor (GeB), Siliziumgermaniumstannumbor (SiGeSnB) enthalten, oder können eine III-V-Halbleiterverbindung für den FET 102A vom p-Typ enthalten, und die zweiten Halbleiterschichten 122 können SiGe, SiGeB, GeB, SiGeSnB oder eine III-V-Halbleiterverbindung für den FET 102A vom p-Typ bzw. Si, SiAs, SiP, SiC oder SiCP für den FET 102B vom n-Typ enthalten, wenn die Halbleitervorrichtung 100 eine komplementäre Metall-Oxid-Halbleitervorrichtung (CMOS-Vorrichtung) ist. In einigen Ausführungsformen können sowohl die ersten Halbleiterschichten 120 als auch die zweiten Halbleiterschichten 122 Si, SiAs, SiP, SiC oder SiCP für FETs 102A-102B vom n-Typ oder SiGe, SiGeB, GeB, SiGeSnB oder eine III-V-Halbleiterverbindung für FETs 102A-102B vom p-Typ enthalten.
  • Jede der ersten Halbleiterschichten 120 kann (i) nanostrukturierte Regionen 120A, um die sich epitaxiale Finnenregionen 110A und darunter liegende innere und äußere Abstandshalter 113A-114A herum legen (1A und 1D), und (ii) nanostrukturierte Kernregionen 121A, um die sich nanostrukturierte Mantelregionen 121B herum legen (1B und 1D), aufweisen. Nanostrukturierte Kernregionen 121A und nanostrukturierte Mantelregionen 121B können nanostrukturierte Kern-Mantel-Kanalregionen 121 zwischen S/D-Regionen 126A des FET 102A bilden. Um jede der nanostrukturierten Kern-Mantel-Kanalregionen 121 kann sich eine Gate-Struktur 112A herum legen (1B und 1D).
  • In ähnlicher Weise kann jede der zweiten Halbleiterschichten 122 (i) nanostrukturierte Regionen 122A, um die sich epitaxiale Finnenregionen 110B und darunter liegende innere und äußere Abstandshalter 113B-114B herum legen (1A und 1C), und (ii) nanostrukturierte Kernregionen 123A, um die sich nanostrukturierte Mantelregionen 123B herum legen (1B und 1C), aufweisen. Nanostrukturierte Kernregionen 123A und nanostrukturierte Mantelregionen 123B können nanostrukturierte Kern-Mantel-Kanalregionen 123 zwischen S/D-Regionen 126B des FET 102A bilden. Um jede der nanostrukturierten Kern-Mantel-Kanalregionen 123 kann sich eine Gate-Struktur 112B herum legen (1B und 1C).
  • Nanostrukturierte Kernregionen 121A und 123A können unter jeweiligen Gate-Strukturen 112A-112B liegen und können durch Modifizierung nanostrukturierter Regionen 120B und 122B (in 1A-1D nicht gezeigt; in 18B und 21B gezeigt) der ersten und zweiten Halbleiterschichten 120 bzw. 122 gebildet werden. Die Materialzusammensetzung der nanostrukturierten Kernregionen 121A und 123A kann der Materialzusammensetzung der ersten und zweiten Halbleiterschichten 120 bzw. 122 ähneln.
  • Nanostrukturierte Mantelregionen 121B und 123B können unter jeweiligen Gate-Strukturen 112A-112B liegen und können epitaxial auf jeweiligen nanostrukturierten Kernregionen 121A und 123B gebildet werden. In einigen Ausführungsformen können nanostrukturierte Mantelregionen 121B Si, SiAs, Siliziumphosphid (SiP), SiC oder Siliziumkohlenstoffphosphid (SiCP) für den FET 102A vom n-Typ enthalten, oder können Ge, SiGe, Siliziumgermaniumbor (SiGeB), Germaniumbor (GeB), Siliziumgermaniumstannumbor (SiGeSnB) enthalten, oder können eine III-V-Halbleiterverbindung für den FET 102A p-Typ enthalten, und nanostrukturierte Mantelregionen 123B können Ge, SiGe, SiGeB, GeB, Si-GeSnB oder eine III-V-Halbleiterverbindung für den FET 102A vom p-Typ bzw. Si, SiAs, SiP, SiC oder SiCP für den FET 102B vom n-Typ enthalten, wenn die Halbleitervorrichtung 100 eine komplementäre Metall-Oxid-Halbleitervorrichtung (CMOS) ist. In einigen Ausführungsformen können beide nanostrukturierten Mantelregionen 121B und 123B Si, SiAs, SiP, SiC oder SiCP für FETs 102A-102B vom n-Typ oder Ge, SiGe, SiGeB, GeB, SiGeSnB oder eine III-V-Halbleiterverbindung für FETs 102A-102B vom p-Typ enthalten. In einigen Ausführungsformen können die Halbleitermaterialien der nanostrukturierten Mantelregionen 121B und/oder 123B undotiert sein oder können während ihres epitaxialen Wachstumsprozesses unter Verwendung von (i) Dotanden vom p-Typ, wie zum Beispiel Bor, Indium oder Gallium; und/oder (ii) Dotanden vom n-Typ, wie zum Beispiel Phosphor oder Arsen, in-situ dotiert werden.
  • Die Material- und Strukturzusammensetzung der nanostrukturierten Mantelregionen 121B und 123B kann sich von der Materialzusammensetzung der nanostrukturierten Kernregionen 121A bzw. 123A unterscheiden. In einigen Ausführungsformen können die nanostrukturierten Mantelregionen 121B und 123B Halbleitermaterialien mit Gitterkonstanten enthalten, die sich von den Gitterkonstanten der Halbleitermaterialien der nanostrukturierten Kernregionen 121A bzw. 123A unterscheiden. Die Gitterfehlanpassung zwischen den Halbleitermaterialien der nanostrukturierten Kernregionen 121A und 123A bzw. der nanostrukturierten Mantelregionen 121B und 123B kann so konfiguriert werden, dass die Ladungsträgermobilität in den nanostrukturierten Kern-Mantel-Kanalregionen 121 bzw. 123 erhöht wird.
  • In einigen Ausführungsformen können für FETs 102A und/oder 102B vom n-Typ nanostrukturierte Mantelregionen 121B und 123B Halbleitermaterialien mit Gitterkonstanten enthalten, die größer sind als die Gitterkonstanten der Halbleitermaterialien der nanostrukturierten Kernregionen 121A bzw. 123A, um in den resultierenden nanostrukturierten Kern-Mantel-Kanalregionen 121 bzw. 123 Zugverspannungen zu induzieren, die die Elektronenmobilität in den nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 erhöhen können. In einigen Ausführungsformen können für FETs 102A und/oder 102B vom p-Typ nanostrukturierte Mantelregionen 121B und 123B Halbleitermaterialien mit Gitterkonstanten enthalten, die kleiner sind als die Gitterkonstanten der Halbleitermaterialien der nanostrukturierten Kernregionen 121A bzw. 123A, um in den resultierenden nanostrukturierten Kern-Mantel-Kanalregionen 121 bzw. 123 Druckverspannungen zu induzieren, die die Lochmobilität in den nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 erhöhen können.
  • Die nanostrukturierten Mantelregionen 121B und 123B können so konfiguriert werden, dass die Kristallorientierung ihrer Flächenebenen abgestimmt wird, um die Oberflächenmobilität von Ladungsträgern in den resultierenden nanostrukturierten Kern-Mantel-Kanalregionen 121 bzw. 123 zu erhöhen, was zu einer verbesserten Leistung der FET-Vorrichtung führt. Auf diese Weise kann in Kanalregionen mit nanostrukturierten Mantelregionen 121B und 123B eine höhere Oberflächenmobilität von Ladungsträgern erreicht werden als in Kanalregionen von FET-Vorrichtungen, die ohne solche nanostrukturierten Mantelregionen 121B und 123B gebildet werden. Zum Beispiel kann in einigen Ausführungsformen die Lochmobilität in Flächenebenen von Halbleitermaterialien mit (111)-Kristallorientierung höher sein, und die nanostrukturierten Mantelregionen 121B und 123B können epitaxial so gezüchtet werden, dass sie Flächenebenen mit (111)-Kristallorientierung aufweisen, wodurch die Flächenebenen von nanostrukturierten Kern-Mantel-Kanalregionen 121 bzw. 123 gebildet werden können.
  • In 1B sind zwar rechteckige Querschnitte der nanostrukturierten Kernregionen 121A und 123A gezeigt, aber die nanostrukturierten Kernregionen 121A und 123A können auch Querschnitte mit anderen geometrischen Formen haben (zum Beispiel kreisförmig, elliptisch oder polygonal). Des Weiteren sind zwar rautenförmige (auch als karoförmig bezeichnete) Querschnitte der nanostrukturierten Mantelregionen 121B und 123B in 1B gezeigt, doch können die nanostrukturierten Mantelregionen 121B und 123B auch Querschnitte mit anderen geometrischen Formen haben (zum Beispiel rechteckig, kreisförmig, elliptisch oder polygonal). In einigen Ausführungsformen können nanostrukturierte Kernregionen 121A und 123A Querschnitte haben, die den Querschnitten der nanostrukturierten Mantelregionen 121B bzw. 123B ähnlich sind oder sich von diesen unterscheiden.
  • Wie in den 1B-1D zu sehen, können die nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 jeweilige vertikale Dimensionen H1 und H2 (zum Beispiel Dicke oder Durchmesser) entlang einer Z-Achse im Bereich von etwa 5 nm bis etwa 30 nm und jeweilige horizontale Dimensionen W1 und W2 (zum Beispiel Breite oder Durchmesser) entlang einer Y-Achse im Bereich von etwa 5 nm bis etwa 50 nm haben. Die Verhältnisse von H1/W1 und H2/W2 können jeweils im Bereich von etwa 0,2 bis etwa 5 liegen. Die Dicke der nanostrukturierten Mantelregionen 121B und 123B um jeweilige nanostrukturierte Kernregionen 121A und 123A herum kann im Bereich von etwa 0,5 nm bis etwa 5 nm liegen. In einigen Ausführungsformen kann die Distanz zwischen benachbarten nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 jeweils von der Dicke der Gate-Dielektrikumschichten 128A-128B abhängig sein und kann im Bereich von etwa 5 nm bis etwa 20 nm liegen. Außerdem können die nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 jeweilige horizontale Dimensionen L1 (1D) und L2 (1C) entlang einer X-Achse im Bereich von etwa 10 nm bis etwa 100 nm haben. Die Verhältnisse von L1/H1 und L2/H2 können im Bereich von etwa 2 bis etwa 20 liegen. In einigen Ausführungsformen können die Dimensionen H1 und H2 , W1 und W2 sowie L1 und L2 jeweils einander gleich oder voneinander verschieden sein. In einigen Ausführungsformen können die Verhältnisse von H1/W1 und H2/W2 sowie L1/H1 und L2/H2 jeweils einander gleich oder voneinander verschieden sein. In einigen Ausführungsformen können die vertikalen Dimensionen H1 und H2 der nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 mindestens so groß wie die vertikalen Dimensionen H3 und H4 (zum Beispiel Dicke oder Durchmesser) entlang einer Z-Achse der nanostrukturierten Regionen 120A bzw. 122A sein. In einigen Ausführungsformen können die horizontalen Dimensionen L1 und L2 der nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 maximal so groß wie die Gate-Längen GL1 bzw. GL2 der Gate-Strukturen 112A-112B sein.
  • Wie in den 1A-1B zu sehen, können Passivierungsschichten 109A-109B an Seitenwänden der nanostrukturierten Regionen 120A und 122A bzw. an Seitenwänden der Finnenbasisabschnitte 119A-119B abgeschieden werden. Passivierungsschichten 109A können auf nanostrukturierten Regionen 120A abgeschieden werden, wie in 1D gezeigt. Passivierungsschichten 109B werden nicht auf nanostrukturierten Regionen 122A abgeschieden, wie in 1C gezeigt, weil Abschnitte der Passivierungsschichten 109B während der Bildung des FET 102B entfernt werden, wie unten beschrieben. Passivierungsschichten 109A-109B können die Oberflächenqualität dieser mit Passivierungsschichten bedeckten Oberflächen der Finnenstrukturen 108A-108B verbessern, indem sie durch unvollständige Bindungen induzierte Leerstellen auf diesen Oberflächen reduzieren oder beseitigen. Die Leerstellen können Ladungsträger einfangen und die Ansteuerungsströme der FETs 102A-102B während ihres Betriebs reduzieren. Die Reduzierung oder Beseitigung dieser Leerstellen kann die Ansteuerungsströme der FETs 102A-102B im Vergleich zu FETs ohne Passivierungsschichten, wie zum Beispiel Passivierungsschichten 109A-109B, um etwa 20 % bis etwa 50 % erhöhen.
  • In einigen Ausführungen können die Passivierungsschichten 109A-109B ein Nitrid-, Oxid-, Fluorid-, Chlorid- und/oder Sulfidfilm sein. In einigen Ausführungen können die Passivierungsschichten 109A-109B Fluor-, Chlor-, Stickstoff-, Sauerstoff-, Wasserstoff-, Deuterium- und/oder Schwefelatome enthalten, die sich mit den unvollständigen Bindungen verbinden können, um die Leerstellen an den oben erwähnten Oberflächen der Finnenstrukturen 108A-108B zu reduzieren oder zu beseitigen. Passivierungsschichten 109A-109B können im Wesentlichen konform auf diesen Oberflächen der Finnenstrukturen 108A-108B abgeschieden werden und können eine Dicke im Bereich von etwa 0,5 nm bis etwa 5 nm haben.
  • Wie in den 1A und 1C-1D zu sehen, können die epitaxialen Finnenregionen 110A um nanostrukturierte Regionen 120A herum gezüchtet werden, die sich nicht unter inneren oder äußeren Abstandshaltern 113A-114A befinden. In ähnlicher Weise können die epitaxialen Finnenregionen 110B um nanostrukturierte Regionen 122A herum gezüchtet werden, die sich nicht unter inneren oder äußeren Abstandshaltern 113B-114B befinden. In einigen Ausführungen, wie in den 1E-1G gezeigt, können die epitaxialen Finnenregionen 110B-110A auf Finnenbasisabschnitten 119B-119A anstatt um nanostrukturierte Regionen 122A bzw. 120A herum gezüchtet werden. Die epitaxialen Finnenregionen 110A-110B können epitaxial gezüchtete Halbleitermaterialien enthalten, die einander ähnlich oder voneinander verschieden sind. In einigen Ausführungsformen kann das epitaxial gezüchtete Halbleitermaterial das gleiche Material wie, oder ein anderes Material als, das Material des Substrats 106 enthalten. Die epitaxialen Finnenregionen 110A-110B können jeweils eine Dicke entlang Seitenwänden der jeweiligen nanostrukturierten Regionen 120A und 122A im Bereich von etwa 3 nm bis etwa 6 nm aufweisen. Obgleich dreieckige Querschnitte der epitaxialen Finnenregionen 110A-110B in den 1C-1D gezeigt sind, können die epitaxialen Finnenregionen 110A-110B auch Querschnitte mit anderen geometrischen Formen haben (zum Beispiel rechteckige Form, Halbkreisform oder polygonale Form).
  • Die epitaxialen Finnenregionen 110A-110B können für p-FETs 102A-102B vom p-Typ sein bzw. können für n-FETs 102A-102B vom n-Typ sein. In einigen Ausführungsformen können die epitaxialen Finnenregionen 110A-110B in Bezug aufeinander den entgegengesetzten Dotierungstyp aufweisen, wenn die Halbleitervorrichtung 100 eine CMOS-Vorrichtung ist. Die epitaxialen Finnenregionen 110A-110B vom p-Typ können SiGe, SiGeB, GeB, Si-GeSnB, eine III-V-Halbleiterverbindung oder eine Kombination davon enthalten und können eine Dotandenkonzentration im Bereich von etwa 1×1020 Atome/cm3 bis etwa 1×1021 Atome/cm3 enthalten. In einigen Ausführungsformen kann jede der epitaxialen Finnenregionen 110A-110B vom p-Typ mehrere Teilregionen (nicht gezeigt) aufweisen, die SiGe enthalten können und die sich zum Beispiel anhand der Dotierungskonzentration, der Bedingungen des epitaxialen Wachstumsprozesses und/oder der relativen Konzentration von Ge in Bezug auf Si voneinander unterscheiden können. Jede der Teilregionen kann einander ähnliche oder voneinander verschiedene Dicken aufweisen, und die Dicken können im Bereich von etwa 0,5 nm bis etwa 5 nm liegen. In einigen Ausführungsformen kann der Atomprozentsatz von Ge in einer ersten Teilregion kleiner sein als der Atomprozentsatz von Ge in einer zweiten Teilregion. In einigen Ausführungsformen kann die erste Teilregion Ge in einem Bereich von etwa 15 Atomprozent bis etwa 35 Atomprozent enthalten, während die zweite Teilregion Ge in einem Bereich von etwa 25 Atomprozent bis etwa 50 Atomprozent enthalten kann, wobei der verbleibende Atomprozentsatz in den Teilregionen Si sein kann.
  • Die mehreren Teilregionen der epitaxialen Finnenregionen 110A-110B vom p-Typ können gemäß einigen Ausführungsformen variierende p-Dotandenkonzentrationen in Bezug aufeinander haben. Zum Beispiel kann die erste Teilregion undotiert sein oder kann eine niedrigere Dotandenkonzentration (zum Beispiel eine Dotandenkonzentration von weniger als etwa 8×1020 Atome/cm3) aufweisen als die Dotandenkonzentration (zum Beispiel eine Dotandenkonzentration in einem Bereich von etwa 1×1020 bis etwa 3×1022 Atome/cm3) der zweiten Teilregion.
  • In einigen Ausführungsformen können die epitaxialen Finnenregionen 110A-110B vom n-Typ mehrere Teilregionen vom n-Typ (nicht abgebildet) aufweisen. Erste Teilregionen vom n-Typ können Materialien mit SiAs, SiC oder SiCP, eine Dotandenkonzentration im Bereich von etwa 1×1020 Atome/cm3 bis etwa 1×1021 Atome/cm3 und eine Dicke im Bereich von etwa 1 nm bis etwa 3 nm aufweisen. Zweite Teilregionen vom n-Typ, die auf den ersten Teilregionen vom n-Typ abgeschieden werden, können Materialien mit SiP und eine Dotandenkonzentration im Bereich von etwa 1×1020 Atome/cm3 bis etwa 1×1022 Atome/cm3 aufweisen. Dritte Teilregionen vom n-Typ, die auf den zweiten Teilregionen vom n-Typ abgeschieden werden, können Materialien mit ähnlichen Materialzusammensetzungen und Dicken ähnlich den ersten Teilregionen vom n-Typ aufweisen.
  • Die epitaxialen Finnenregionen 110A-110B, zusammen mit ihren darunter liegenden nanostrukturierten Regionen 120A und 122A, können die Source/Drain-Regionen (S/D-Regionen) 126A-126B bilden. Die nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 können jeweils zwischen einem Paar S/D-Regionen 126A-126B eingefügt werden, wie in den 1C-1D gezeigt.
  • Die Gate-Strukturen 112A-112B können mehrschichtige Strukturen sein und können um nanostrukturierte Kern-Mantel-Kanalregionen 121 bzw. 123 herum gelegt werden, für die die Gate-Strukturen 112A-112B als Gate-All-Around-Strukturen (GAA-Strukturen) oder horizontale Gate-All-Around-Strukturen (HGAA-Strukturen) bezeichnet werden können, und die FETs 102A-102B können als GAA-FETs 102A-102B bezeichnet werden. Der Abstand 111 zwischen den Gate-Strukturen 112A-112B ist nicht maßstabsgetreu gezeichnet, und die Gate-Strukturen 112A-112B können um eine beliebige Distanz voneinander getrennt sein. In einigen Ausführungsformen können die FETs 102A-102B eine gemeinsame Gate-Struktur haben, die sich um die nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 herum legt, ähnlich den Gate-Strukturen 112A-112B.
  • Die Gate-Strukturen 112A-112B können Gate-Dielektrikumschichten 128A-128B, Gate-Austrittsarbeitsmetallschichten 130A-130B bzw. Gate-Metallfüllschichten 132A-132B aufweisen. Wie in 1B gezeigt, kann die Gate-Dielektrikumschicht 128A um jede der nanostrukturierten Kern-Mantel-Kanalregionen 121 herum gelegt werden und somit die nanostrukturierten Kern-Mantel-Kanalregionen 121 elektrisch voneinander und von der leitfähigen Gate-Austrittsarbeitsmetallschicht 130A und der Gate-Metallfüllschicht 132A isolieren, um Kurzschlüsse zwischen der Gate-Struktur 112A und den S/D-Regionen 126A während des Betriebes des FET 102A zu verhindern. In ähnlicher Weise kann die Gate-Dielektrikumschicht 128B um jede der nanostrukturierten Kern-Mantel-Kanalregionen 123 herum gelegt werden und die nanostrukturierten Kern-Mantel-Kanalregionen 123 elektrisch voneinander und von der leitfähigen Gate-Austrittsarbeitsmetallschicht 130B und der Gate-Metallfüllschicht 132B isolieren, um Kurzschlüsse zwischen der Gate-Struktur 112B und den S/D-Regionen 126 während des Betriebes des FET 102B zu verhindern. Obgleich 1B zeigt, dass die Distanzen zwischen benachbarten nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 breit genug sind, damit die Gate-Dielektrikumschichten 128A-128B und die Gate-Austrittsarbeitsschichten 130A-130B um jede der nanostrukturierten Kern-Mantel-Kanalregionen 121 bzw. 123 herum gelegt werden können, können diese Distanzen auch breiter sein, damit die Gate-Metallfüllschichten 132A-132B um jede der nanostrukturierten Kern-Mantel-Kanalregionen 121 bzw. 123 herum gelegt werden können.
  • Jede der Gate-Dielektrikumschichten 128A-128B kann eine Dicke im Bereich von etwa 1 nm bis etwa 5 nm haben und kann enthalten: (i) eine Schicht aus Siliziumoxid, Siliziumnitrid und/oder Siliziumoxynitrid, (ii) ein dielektrisches Material mit hohem k-Wert, wie zum Beispiel Hafniumoxid (HfO2), Titanoxid (TiO2), Hafniumzirkoniumoxid (HfZrO), Tantaloxid (Ta2O3), Hafniumsilikat (HfSiO4), Zirkoniumoxid (ZrO2), Zirkoniumsilikat (ZrSiO2), (iii) ein dielektrisches Material mit hohem k-Wert, das Oxide von Lithium (Li), Beryllium (Be), Magnesium (Mg), Kalzium (Ca), Strontium (Sr), Scandium (Sc), Yttrium (Y), Zirkonium (Zr), Aluminium (Al), Lanthan (La), Cer (Ce), Praseodym (Pr), Neodym (Nd), Samarium (Sm), Europium (Eu), Gadolinium (Gd), Terbium (Tb), Dysprosium (Dy), Holmium (Ho), Erbium (Er), Thulium (Tm), Ytterbium (Yb) oder Lutetium (Lu) enthält, oder (iv) eine Kombination davon.
  • Die Gate-Austrittsarbeitsmetallschichten 130A-130B können eine einzelne Metallschicht oder einen Stapel von Metallschichten enthalten. Der Stapel von Metallschichten kann Metalle miteinander gleichen oder voneinander verschiedenen Austrittsarbeitswerten enthalten. In einigen Ausführungen kann jede der Gate-Austrittsarbeitsmetallschichten 130A-130B Aluminium (Al), Kupfer (Cu), Wolfram (W), Titan (Ti), Tantal (Ta), Titannitrid (TiN), Tantalnitrid (TaN), Nickelsilizid (NiSi), Kobaltsilizid (CoSi), Silber (Ag), Tantalcarbid (TaC), Tantalsiliziumnitrid (TaSiN), Tantalkohlenstoffnitrid (TaCN), Titanaluminium (TiAl), Titanaluminiumnitrid (TiAlN), Wolframnitrid (WN), Metalllegierungen und/oder Kombinationen davon enthalten. In einigen Ausführungsformen kann jede Gate-Austrittsarbeitsschicht 130 Al-dotiertes Metall enthalten, wie zum Beispiel Al-dotiertes Ti, Aldotiertes TiN, Al-dotiertes Ta oder Al-dotiertes TaN. In einigen Ausführungen kann jede Gate-Austrittsarbeitsschicht 130 eine Dicke im Bereich von etwa 2 nm bis etwa 15 nm aufweisen.
  • In einigen Ausführungsformen können Gate-Sperrschichten (nicht gezeigt) jeweils zwischen Gate-Dielektrikumschichten 128A-128B und Gate-Austrittsarbeitsmetallschichten 130A-130B abgeschieden werden. Gate-Sperrschichten können als Keimbildungsschichten für die anschließende Bildung von Gate-Austrittsarbeitsschichten 130A-130B dienen, und/oder können dazu beitragen, eine wesentliche Diffusion von Metallen (zum Beispiel Al) aus den Gate-Austrittsarbeitsschichten 130A-130B in darunterliegende Schichten (zum Beispiel Gate-Dielektrikumschichten 128A-128B) zu verhindern. Gate-Sperrschichten können Titan (Ti), Tantal (Ta), Titannitrid (TiN), Tantalnitrid (TaN) oder andere geeignete Diffusionssperrmaterialien enthalten. In einigen Ausführungen können Gate-Sperrschichten im Wesentlichen fluorfreies Metall oder metallhaltige Filme enthalten. Der im Wesentlichen fluorfreie Metall- oder fluorfreie metallhaltige Film kann einen Betrag an Fluorverunreinigungen von weniger als 5 Atomprozent in Form von Ionen, Atomen und/oder Molekülen enthalten. In einigen Ausführungen können Gate-Sperrschichten eine Dicke im Bereich von etwa 1 nm bis etwa 10 nm haben.
  • Jede der Gate-Metallfüllschichten 132A-132B kann eine einzelne Metallschicht oder einen Stapel von Metallschichten enthalten. Der Stapel von Metallschichten kann voneinander verschiedene Metalle enthalten. In einigen Ausführungen kann jede der Gate-Metallfüllschichten 132A-132B ein geeignetes leitfähiges Material enthalten, wie zum Beispiel Ti, Silber (Ag), Al, Titanaluminiumnitrid (TiAlN), Tantalcarbid (TaC), Tantalcarbonitrid (TaCN), Tantalsiliziumnitrid (TaSiN), Mangan (Mn), Zr, Titannitrid (TiN), Tantalnitrid (TaN), Ruthenium (Ru), Molybdän (Mo), Wolframnitrid (WN), Kupfer (Cu), Wolfram (W), Kobalt (Co), Nickel (Ni), Titancarbid (TiC), Titanaluminiumcarbid (TiAlC), Tantalaluminiumcarbid (TaAlC), Metalllegierungen und/oder Kombinationen davon. Obgleich die Gate-Strukturen 112A-112B als ähnlich gezeigt sind, können die FETs 102A-102B Gate-Strukturen mit voneinander verschiedenen Materialien und/oder elektrischen Eigenschaften (zum Beispiel Schwellenspannung, Austrittsarbeitswert) aufweisen. Des Weiteren fallen, obgleich die Gate-Strukturen 112A-112B so gezeigt sind, dass sie GAA-Strukturen aufweisen, auch andere Gate-Strukturen (zum Beispiel vertikale GAA-Strukturen oder Gate-Strukturen ohne GAA-Strukturen) unter den Geltungsbereich und das Wesen dieser Offenbarung.
  • Wie in den 1C-1D gezeigt, kann jeder der inneren Abstandshalter 113A zwischen einer Teilregion 110As der epitaxialen Regionen 110A und einer Teilregion 112As der Gate-Struktur 112A angeordnet werden, und jeder der inneren Abstandshalter 113B kann zwischen einer Teilregion 110Bs der epitaxialen Regionen 110B und einer Teilregion 112Bs der Gate-Struktur 112B angeordnet werden. Jeder der inneren Abstandshalter 113A-113B kann eine kapazitive Kopplung zwischen den Teilregionen 110As und 112As bzw. zwischen den Teilregionen 110Bs und 112Bs verhindern. Das Verhindern der kapazitiven Kopplung zwischen diesen Teilregionen kann eine parasitäre Kapazität zwischen den S/D-Regionen 126A-126B und den Gate-Strukturen 112A-112B reduzieren und die Leistung der FET-Vorrichtungen 102A-102B verbessern.
  • In einigen Ausführungen können die inneren Abstandshalter 113A-113B ein dielektrisches Material mit niedrigem k-Wert mit einer Dielektrizitätskonstante von weniger als etwa 3,9 und/oder zwischen etwa 1 bis etwa 3,5 enthalten. In einigen Ausführungen kann das dielektrische Material mit niedrigem k-Wert Silizium, Sauerstoff, Kohlenstoff und/oder Stickstoff enthalten. Die Konzentrationen von Silizium, Sauerstoff, Kohlenstoff und Stickstoff in dem dielektrischen Material mit niedrigem k-Wert für innere Abstandshalter 113A-113B können von der gewünschten Dielektrizitätskonstante der inneren Abstandshalter 113A-113B abhängen. Veränderte Konzentrationen von Silizium, Sauerstoff, Kohlenstoff und Stickstoff in dem dielektrischen Material mit niedrigem k-Wert können die gewünschte Dielektrizitätskonstante verändern. Das dielektrische Material mit niedrigem k-Wert kann Siliziumoxycarbonitrid (SiOCN), Siliziumkohlenstoffnitrid (SiCN), Siliziumoxidcarbid (SiOC), Polymide, kohlenstoffdotierte Oxide, fluordotierte Oxide, wasserstoffdotierte Oxide oder eine Kombination davon enthalten.
  • In einigen Ausführungen können die inneren Abstandshalter 113A-113B ein dielektrisches Gas mit niedrigem k-Wert mit einer Dielektrizitätskonstante von weniger als etwa 3,9 und/oder zwischen etwa 0,5 bis etwa 3,5 enthalten. Das dielektrische Gas mit niedrigem k-Wert kann Luft, Stickstoff, Helium, Argon, Wasserstoff oder andere geeignete dielektrische Gase enthalten. In einigen Ausführungsformen können die inneren Abstandshalter 113A-113B die Form von Luftspalten zwischen den Teilregionen 110As und 112As bzw. zwischen den Teilregionen 110Bs und 112Bs haben. In einigen Ausführungsformen können die inneren Abstandshalter 113A-113B einander ähnliches oder voneinander verschiedenes Material haben. In einigen Ausführungsformen können beide FETs 102A-102B innere Abstandshalter haben, wie zum Beispiel die inneren Abstandshalter 113A-113B, oder einer der FETs 102A-102B kann innere Abstandshalter haben, wie zum Beispiel die inneren Abstandshalter 113A oder 113B. Obgleich rechteckige Querschnitte der inneren Abstandshalter 113A-113B in den 1C-1D gezeigt sind, können die inneren Abstandshalter 113A-113B auch Querschnitte mit anderen geometrischen Formen haben (zum Beispiel halbkreisförmig, dreieckig oder polygonal). In einigen Ausführungsformen kann jeder der inneren Abstandshalter 113A-113B eine horizontale Dimension (zum Beispiel Dicke) entlang einer X-Achse im Bereich von etwa 3 nm bis etwa 15 nm haben.
  • Die äußeren Abstandshalter 114A-114B können gemäß einigen Ausführungsformen an Seitenwänden der jeweiligen Gate-Strukturen 112A-112B abgeschieden werden und in physischem Kontakt mit jeweiligen Gate-Dielektrikumschichten 128A-128B stehen. Die äu-ßeren Abstandshalter 114A-114B können ein isolierendes Material, wie zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumkohlenstoffnitrid (SiCN), Siliziumoxycarbonitrid (SiOCN), ein Material mit niedrigem k-Wert oder eine Kombination davon enthalten. Die äußeren Abstandshalter 114A-114B können ein Material mit niedrigem k-Wert mit einer Dielektrizitätskonstante von weniger als etwa 3,9 und/oder zwischen etwa 1 bis etwa 3,5 enthalten. In einigen Ausführungen kann jeder der äußeren Abstandshalter 114A-114B eine Dicke im Bereich von etwa 2 nm bis etwa 10 nm haben. In einigen Ausführungen ist eine horizontale Distanz zwischen den äußeren Abstandshaltern 114A entlang einer X-Achse größer als eine horizontale Distanz zwischen den inneren Abstandshaltern 113A entlang einer X-Achse. In ähnlicher Weise ist eine horizontale Distanz zwischen den äußeren Abstandshaltern 114B entlang einer X-Achse größer als eine horizontale Distanz zwischen den inneren Abstandshaltern 113B entlang einer X-Achse.
  • Die FETs 102A-102B können durch die Verwendung anderer struktureller Komponenten, wie zum Beispiel Gate-Kontaktstrukturen, S/D-Kontaktstrukturen, leitfähige Durchkontaktierungen, Leitungen, Interconnect-Metallschichten usw., die hier aus Gründen der besseren Übersichtlichkeit nicht gezeigt sind, in eine integrierte Schaltung eingearbeitet werden.
  • Wie in den 1A-1D gezeigt, kann die Halbleitervorrichtung 100 des Weiteren eine Ätzstoppschicht (Etch Stop Layer, ESL) 116, eine Zwischenschichtdielektrikum-Schicht (Interlayer Dielectric, ILD) 118 und Flachgrabenisolationsregionen (Shallow Trench Isolation, STI) 138 aufweisen. Die ESL 116 kann an Seitenwänden der äußeren Abstandshalter 114A-114B und auf epitaxialen Regionen 110A-110B abgeschieden werden. Die ESL 116 kann dafür konfiguriert sein, Gate-Strukturen 112A-112B und/oder S/D-Regionen 126A-126B zu schützen. Dieser Schutz kann zum Beispiel während der Bildung der ILD-Schicht 118 und/oder von S/D-Kontaktstrukturen (nicht gezeigt) erzeugt werden. In einigen Ausführungen kann die ESL 116 zum Beispiel Siliziumnitrid (SiNx), Siliziumoxid (SiOx), Siliziumoxynitrid (SiON), Siliziumcarbid (SiC), Siliziumcarbid (SiC), Siliziumcarbonitrid (SiCN), Bornitrid (BN), Siliziumbornitrid (SiBN), Siliziumkohlenstoffbornitrid (SiCBN) oder eine Kombination davon enthalten. In einigen Ausführungsformen kann die ESL 116 eine Dicke von etwa 3 nm bis etwa 30 nm haben.
  • Die ILD-Schicht 118 kann auf der ESL 116 abgeschieden werden und kann ein dielektrisches Material enthalten, das, das mittels eines Abscheidungsverfahrens abgeschieden wird, das für fließfähige dielektrische Materialien geeignet ist (zum Beispiel fließfähiges Siliziumoxid, fließfähiges Siliziumnitrid, fließfähiges Siliziumoxynitrid, fließfähiges Siliziumcarbid oder fließfähiges Siliziumoxycarbid). In einigen Ausführungsformen ist das dielektrische Material Siliziumoxid. In einigen Ausführungen kann die ILD-Schicht 118 eine Dicke in einem Bereich von etwa 50 nm bis etwa 200 nm haben.
  • Die STI-Regionen 138 können so konfiguriert werden, dass sie eine elektrische Isolierung zwischen den FETs 102A-102B und benachbarten FETs (nicht gezeigt) auf dem Substrat 106 und/oder benachbarten aktiven und passiven Elementen (nicht gezeigt), die in das Substrat 106 integriert oder darauf abgeschieden sind, aufweisen. In einigen Ausführungsformen können STI-Regionen 138 mehrere Schichten enthalten, wie zum Beispiel eine Nitridschicht und/oder Oxidschicht 138A, und eine Isolierschicht 138B, die auf Nitrid- und/oder Oxidschichten 138A abgeschieden ist. In einigen Ausführungen können die Nitrid- und/oder Oxidschichten 138A die Oxidation der Seitenwände der oberen Finnenabschnitte 108A2-108B2 während der Bildung der STI-Regionen 138 verhindern. In einigen Ausführungen kann die Isolierschicht 138B Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, fluordotiertes Silikatglas (FSG), ein dielektrisches Material mit niedrigem k-Wert und/oder andere geeignete Isoliermaterialien enthalten. In einigen Ausführungsformen können STI-Regionen 138 eine vertikale Dimension entlang einer Z-Achse im Bereich von etwa 40 nm bis etwa 200 nm haben.
  • Die Querschnittsformen der Halbleitervorrichtung 100 und ihrer Elemente (zum Beispiel Finnenstrukturen 108A-108B, Gate-Strukturen 112A-112B, epitaxiale Finnenregionen 110A-110B, innere Abstandshalter 113-113B, äußere Abstandshalter 114A-114B und/oder STI-Regionen 138) dienen der Veranschaulichung und sind nicht als Einschränkung gedacht.
  • 2A-2D veranschaulichen Querschnittsansichten der FETs 102A-102B entlang der Linie B-B von 1A für andere Konfigurationen der nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123, als in den 1B gezeigt sind. In einigen Ausführungsformen kann die Halbleitervorrichtung 100 anstelle der in 1B gezeigten FETs 102A-102B die in den 2A-2B gezeigten FETs 102A-102B jeweils mit nanostrukturierten Kern-Mantel-Kanalregionen 121-123 in beiden FETs 102A-102B aufweisen. In einigen Ausführungsformen kann die Halbleitervorrichtung 100 anstelle der in 1B gezeigten FETs 102A-102B die in 2C gezeigten FETs 102A-102B haben, wobei der FET 102A nanostrukturierte Kern-Mantel-Kanalregionen 121 aufweist und der FET 102B Kanalregionen aufweist, wobei ein Stapel nanostrukturierter Regionen 120B und 122B in einer abwechselnden Konfiguration abgeschieden sind. Anstelle der in den 1B gezeigten FETs 102A-102B kann die Halbleitervorrichtung 100 alternativ die in den 2D gezeigten FETs 102A-102B haben, wobei der FET 102B nanostrukturierte Kern-Mantel-Kanalregionen 123 aufweist und der FET 102A Kanalregionen aufweist, wobei ein Stapel nanostrukturierter Regionen 120B und 122B in einer abwechselnden Konfiguration abgeschieden sind. Die Materialzusammensetzung der nanostrukturierten Regionen 120B und 122B kann ähnlich der Materialzusammensetzung der ersten und zweiten Halbleiterschichten 120 bzw. 122 sein.
  • 3 ist ein Flussdiagramm eines beispielhaften Verfahrens 300 zur Herstellung der Halbleitervorrichtung 100 gemäß einigen Ausführungsformen. Für veranschaulichende Zwecke werden die in 300 veranschaulichten Operationen mit Bezug auf den beispielhaften Herstellungsprozess zum Herstellen der Halbleitervorrichtung 300, wie in den 4A-23A, 4B-23B, 9C-23C und 9D-23D veranschaulicht, beschrieben. 4A-23A sind isometrische Ansichten der Halbleitervorrichtung 100 auf verschiedenen Stufen ihrer Herstellung. 4B-23B, 9C-23C und 9D-23D sind jeweils Querschnittsansichten entlang der Linien B-B, C-C und D-D der Strukturen der 4A-23A gemäß einigen Ausführungsformen. In Abhängigkeit von den konkreten Anwendungen können die Operationen in einer anderen Reihenfolge ausgeführt werden oder brauchen gar nicht ausgeführt zu werden. Es ist anzumerken, dass das Verfahren 300 keine vollständige Halbleitervorrichtung 100 zu erbringen braucht. Dementsprechend versteht es sich, dass zusätzliche Prozesse vor, während und nach dem Verfahren 300 ausgeführt werden können und dass einige andere Prozesse im vorliegenden Text möglicherweise nur kurz beschrieben werden. Elemente in den 4A-23A, 4B-23B, 9C-23C und 9D-23D mit den gleichen Anmerkungen wie die Elemente in den 1A-1D sind oben beschrieben.
  • In Operation 305 werden Finnenstrukturen auf einem Substrat gebildet. Zum Beispiel können Finnenstrukturen 108A*-108B* (in den 5A-5B gezeigt) mit Finnenbasisabschnitten 119A-119B und Stapeln aus ersten und zweiten Halbleiterschichten 120 und 122, die in abwechselnden Konfigurationen abgeschieden sind, auf dem Substrat 106 gebildet werden, wie in Bezug auf 4A-5B beschrieben. Bei der anschließenden Verarbeitung können die Finnenstrukturen 108A*-108B* nach dem Entfernen der zweiten und ersten Halbleiterschichten 122 bzw. 120 von den jeweiligen Finnenstrukturen 108A*-108B* Finnenstrukturen 108A-108B* (in den 1A-1D gezeigt) bilden. Der Prozess zum Bilden von Finnenstrukturen 108A*-108B* kann die Bildung einer gestapelten Schicht 108* auf dem Substrat 106 umfassen, wie in den 4A-4B gezeigt. Die gestapelte Schicht 108* kann erste und zweite Halbleiterschichten 120* und 122* enthalten, die in einer abwechselnden Konfiguration gestapelt sind. Die ersten und zweiten Halbleiterschichten 120* und 122* können jeweilige vertikale Dimensionen H1 und H2 entlang einer Z-Achse im Bereich von etwa 5 nm bis etwa 30 nm haben.
  • Jede der ersten und zweiten Halbleiterschichten 120* und 122* kann auf ihrer darunterliegenden Schicht epitaxial gezüchtet werden und kann voneinander verschiedene Halbleitermaterialien enthalten. In einigen Ausführungsformen können die ersten und zweiten Halbleiterschichten 120* und 122* Halbleitermaterialien mit voneinander verschiedenen Oxidationsraten und/oder Ätzselektivitäten enthalten. In einigen Ausführungsformen können die ersten und zweiten Halbleiterschichten 120* und 122* Halbleitermaterialien enthalten, die dem Substrat 106 ähnlich sind oder sich von diesem unterscheiden. Die ersten und zweiten Halbleiterschichten 120* und 122* können enthalten: (i) einen elementaren Halbleiter wie zum Beispiel Silizium oder Germanium; (ii) einen Verbundhalbleiter, der ein III-V-Halbleitermaterial enthält; (iii) einen Legierungshalbleiter, der SiGe, Germaniumstannum oder Siliziumgermaniumstannum enthält; oder (iv) eine Kombination davon. In einigen Ausführungsformen können erste Halbleiterschichten 120* Si enthalten, und zweite Halbleiterschichten 122* SiGe können enthalten. In einigen Ausführungsformen können die ersten und zweiten Halbleiterschichten 120* und 122* SiGe mit Ge in einem Bereich von etwa 25 Atomprozent bis etwa 50 Atomprozent enthalten, wobei jedes verbleibende Atomprozent Si ist, oder können Si ohne einen wesentlichen Anteil von Ge (zum Beispiel kein Ge) enthalten.
  • Die ersten und/oder zweiten Halbleiterschichten 120* und 122* können undotiert sein oder können während ihres epitaxialen Wachstumsprozesses unter Verwendung von (i) Dotanden vom p-Typ, wie zum Beispiel Bor, Indium oder Gallium; und/oder (ii) Dotanden vom n-Typ, wie zum Beispiel Phosphor oder Arsen, in-situ dotiert werden. Für die in-situ-Dotierung vom p-Typ können Dotierungsvorläufer vom p-Typ wie zum Beispiel Diboran (B2H6), Bortrifluorid (BF3) und/oder andere Dotierungsvorläufer vom p-Typ verwendet werden. Für die in-situ-Dotierung vom n-Typ können Dotierungsvorläufer vom n-Typ wie zum Beispiel Phosphin (PH3), Arsin (AsH3) und/oder andere und/oder andere Dotierungsvorläufer vom n-Typ verwendet werden.
  • Der Prozess zum Bilden von Finnenstrukturen 108A*-108B* kann des Weiteren das Ätzen der Struktur von 4A durch strukturierte Hartmaskenschichten (nicht gezeigt) umfassen, die auf der gestapelten Schicht 108* von 4A gebildet werden. In einigen Ausführungen können Hartmaskenschichten Schichten aus Siliziumoxid enthalten, die zum Beispiel mittels eines thermischen Oxidationsprozesses gebildet werden, und/oder können Schichten aus Siliziumnitrid enthalten, die zum Beispiel mittels chemischer Niederdruckaufdampfung (LPCVD) oder plasmaunterstützter CVD (PECVD) gebildet werden. Das Ätzen der Struktur von 4A kann einen Trockenätzprozess, einen Nassätzprozess oder eine Kombination davon umfassen.
  • Der Trockenätzprozess kann die Verwendung von Ätzmitteln umfassen, die ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas (zum Beispiel CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (zum Beispiel C12, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (zum Beispiel HBr und/oder CHBR3), Ammoniakgas (NH3), ein jodhaltiges Gas, andere geeignete Ätzgase und/oder Plasmen oder Kombinationen davon enthalten. Der Trockenätzprozess kann bei hoher Vorspannung im Bereich von etwa 150 V bis etwa 350 V, bei einer Hochfrequenzleistung im Bereich von etwa 10 W bis etwa 50 W, bei einem Druck von etwa 5 Torr bis etwa 50 Torr, bei einer Temperatur im Bereich von etwa 25°C bis etwa 40°C, und für eine Zeitdauer im Bereich von etwa 10 s bis etwa 40 s durchgeführt werden.
  • Der Nassätzprozess kann Ätzen in verdünnter Flusssäure (DHF), Kaliumhydroxidlösung (KOH-Lösung), Ammoniak (NH3), einer Lösung, die Flusssäure (HF), Salpetersäure (HNO3) und/oder Essigsäure (CH3COOH) enthält, oder Kombinationen davon umfassen.
  • Nach dem Ätzen der gestapelten Schicht 108* können Finnenstrukturen 108A*-108B* mit Finnenbasisabschnitten 119A-119B, die jeweilige vertikale Dimensionen entlang einer Z-Achse im Bereich von etwa 40 nm bis etwa 60 nm haben, gebildet werden, wie in den 5A-5B gezeigt. Stapel aus ersten und zweiten Halbleiterschichten 120 und 122, die auf Finnenbasisabschnitten 119A-119B gebildet werden, können jeweilige vertikale Dimensionen H3 und H4 entlang einer Z-Achse im Bereich von etwa 5 nm bis etwa 30 nm und jeweilige horizontale Dimensionen W3 und W4 entlang einer Y-Achse im Bereich von etwa 5 nm bis etwa 50 nm haben. Die Verhältnisse von H1/W1 und H2/W2 können jeweils im Bereich von etwa 0,2 bis etwa 5 liegen. In einigen Ausführungsformen können die Dimensionen H3-H4 und W3-W4 jeweils einander gleich oder voneinander verschieden sein. In einigen Ausführungsformen können die Verhältnisse von H1/W1 und H2/W2 jeweils einander gleich oder voneinander verschieden sein.
  • Wie in 3 zu sehen, werden in Operation 310 Passivierungsschichten auf den Finnenstrukturen gebildet. Zum Beispiel können jeweils Passivierungsschichten 109A-109B auf den Finnenstrukturen 108A*-108B* gebildet werden, wie mit Bezug auf die 6A-6B beschrieben. Der Prozess zum Bilden von Passivierungsschichten 109A-109B auf den Finnenstrukturen 108A*-108B* kann das flächendeckende Abscheiden einer Passivierungsschicht 109 auf der Struktur von 5A unter Verwendung eines oder mehrerer Vorläufergase, die Fluor, Chlor, Stickstoff, Sauerstoff, Wasserstoff, Deuterium, NH3 und/oder Schwefelwasserstoff (H2S) enthalten, in einem ALD- oder CVD-Prozess umfassen. Das eine oder die mehreren Vorläufergase können während des flächendeckenden Abscheidungsprozesses eine Strömungsrate von etwa 10 sccm bis etwa 1500 sccm haben. Der flächendeckende Abscheidungsprozess kann bei einem Druck von etwa 10 Torr bis etwa 20 Atmosphärendruck, bei einer Temperatur im Bereich von etwa 100°C bis etwa 300°C, und über einen Zeitraum von etwa 10 s bis etwa 120 min durchgeführt werden. Die Abschnitte der flächendeckend abgeschiedenen Passivierungsschicht 109 auf den Finnenstrukturen 108A*-108B* können jeweils als Passivierungsschichten 109A-109B bezeichnet werden.
  • Wie in 3 gezeigt, werden in der Operation 315 STI-Regionen auf den Passivierungsschichten gebildet. Zum Beispiel können STI-Regionen 138 auf Passivierungsschichten 109A-109B gebildet werden, wie in Bezug auf die 7A-7B beschrieben. Die Bildung von STI-Regionen 138 kann umfassen: (i) Abscheiden einer Schicht aus Nitridmaterial (nicht gezeigt) auf der Struktur von 6A, (ii) Abscheiden einer Schicht aus Oxidmaterial (nicht gezeigt) auf der Schicht aus Nitridmaterial, (iii) Abscheiden einer Schicht aus Isoliermaterial (nicht gezeigt) auf der Schicht aus Oxidmaterial, (iv) Tempern der Schicht aus Isoliermaterial, (v) chemisch-mechanisches Polieren (CMP) der Schichten aus Nitrid- und Oxidmaterial und der getemperten Schicht aus Isoliermaterial, und (vi) Rückätzen der polierten Struktur zum Bilden von STI-Regionen 138 von 7A.
  • Die Schichten aus Nitrid- und Oxidmaterialien können unter Verwendung eines geeigneten Verfahrens zum Abscheiden von Oxid- und Nitridmaterialien, wie zum Beispiel ALD oder CVD, abgeschieden werden. Bei einigen Ausführungen kann die Schicht aus isolierendem Material Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, fluoriddotiertes Silikatglas (FSG) oder ein dielektrisches Material mit niedrigem k-Wert enthalten. In einigen Ausführungen kann die Schicht aus isolierendem Material unter Verwendung eines CVD-Prozesses, eines CVD-Prozesses mit hochdichtem Plasma (HDP), unter Verwendung von Silan (SiH4) und Sauerstoff (O2) als Reaktionsvorläufer abgeschieden werden. In einigen Ausführungen kann die Schicht aus isolierendem Material unter Verwendung eines subatmosphärischen CVD-Prozesses (SACVD) oder eines Prozesses mit hohem Seitenverhältnis (High Aspect-Ratio Process, HARP) gebildet werden, wobei die Prozessgase Tetraethoxysilan (TEOS) und/oder Ozon (O3) enthalten können.
  • Bei einigen Ausführungen kann die Schicht aus Isoliermaterial durch Abscheiden von fließfähigem Siliziumoxid mittels eines fließfähigen CVD-Prozesses (FCVD) gebildet werden. Auf den FCVD-Prozess kann ein Nasstemperprozess folgen. Der Nasstemperprozess kann das Tempern der abgeschiedenen Schicht aus isolierendem Material in Dampf bei einer Temperatur im Bereich von etwa 200°C bis etwa 700 °C über einen Zeitraum von etwa 30 min bis etwa 120 min umfassen. Auf den Nasstemperprozess kann der CMP-Prozess folgen, um Abschnitte der Schichten aus Nitrid-, Oxid- und Isoliermaterialien zu entfernen, um die Oberseiten der Schichten aus Nitrid-, Oxid- und Isoliermaterialien mit den Oberseiten der Finnenstrukturen 108A*-108B* im Wesentlichen zu koplanarisieren. Auf den CMP-Prozess kann der Ätzprozess folgen, um die Schichten aus Nitrid-, Oxid- und Isoliermaterialien zurückzuätzen, um STI-Regionen 138 von 7A zu bilden.
  • Das Rückätzen der Schichten aus Nitrid-, Oxid- und Isoliermaterialien kann durch einen Trockenätzprozess, einen Nassätzprozess oder eine Kombination davon durchgeführt werden. In einigen Ausführungsformen kann der Trockenätzprozess die Verwendung eines Plasma-Trockenätzens mit einem Gasgemisch, das Oktafluorcyclobutan (C4F8), Argon (Ar), Sauerstoff (O2) und Helium (He), Fluoroform (CHF3) und He, Kohlenstofftetrafluorid (CF4), Difluormethan (CH2F2), Chlor (Cl2) und O2, Wasserstoffbromid (HBr), O2 und He, oder eine Kombination davon enthält, mit einem Druck im Bereich von etwa 1 mTorr bis etwa 5 mTorr umfassen. In einigen Ausführungsformen kann der Nassätzprozess die Verwendung einer Behandlung mit verdünnter Flusssäure (DHF), einer Ammoniumperoxidmischung (APM), einer Schwefelperoxidmischung (SPM), von heißem entionisiertem Wasser (DI-Wasser), oder einer Kombination davon umfassen. In einigen Ausführungsformen kann der Nassätzprozess die Verwendung von Ammoniak (NH3) und Fluorwasserstoffsäure (HF) als Ätzmittel und von Inertgasen wie zum Beispiel Ar, Xenon (Xe), He, oder einer Kombination davon enthalten. In einigen Ausführungsformen kann die Strömungsrate von HF und NH3, die in dem Nassätzprozess verwendet werden, jeweils im Bereich von etwa 10 sccm bis etwa 100 sccm liegen. In einigen Ausführungsformen kann der Ätzprozess mit einem Druck im Bereich von etwa 5 mTorr bis etwa etwa 100 mTorr und einer hohen Temperatur im Bereich von etwa 50°C bis etwa 120°C ausgeführt werden.
  • Wie in 3 gezeigt, werden in der Operation 320 schützende Oxidschichten auf den Passivierungsschichten gebildet, und Polysiliziumstrukturen werden auf den schützenden Oxidschichten und den STI-Regionen gebildet. Zum Beispiel können Schutzoxidschichten 740A-740B auf jeweiligen Passivierungsschichten 109A-109B gebildet werden, und Polysiliziumstrukturen 112A*-112B* können auf jeweiligen Schutzoxidschichten 740A-740B und den STI-Regionen 138 gebildet werden, wie in Bezug auf die 7A-7B beschrieben.
  • Der Prozess zum Bilden von schützenden Oxidschichten 740A-740B kann das flächendeckende Abscheiden einer Schicht aus Oxidmaterial (nicht gezeigt) auf der Struktur von 6A umfassen, gefolgt von einem Hochtemperaturtemperprozess und einem Ätzprozess. Die Schicht aus Oxidmaterial kann Siliziumoxid enthalten und kann unter Verwendung eines geeigneten Abscheidungsprozesses, wie zum Beispiel CVD, ALD, plasmaunterstütztes ALD (PEALD), physikalische Aufdampfung (PVD) oder Elektronenstrahlverdampfung, flächendeckend abgeschieden werden. In einigen Ausführungen kann die Schicht aus Oxidmaterial mittels PEALD bei einer Energie im Bereich von etwa 400 W bis etwa 500 W und bei einer Temperatur im Bereich von etwa 300 °C bis etwa 500 °C flächendeckend abgeschieden werden. Auf das flächendeckende Abscheiden der Oxidmaterialschicht kann ein Trockentemperprozess unter Sauerstoffgasströmung bei einer Temperatur im Bereich von etwa 800°C bis etwa 1050°C folgen. Die Konzentration des Sauerstoffvorläufers kann in einem Bereich von etwa 0,5 % bis etwa 5 % der Gesamtgasströmungsrate liegen. In einigen Ausführungsformen kann der Temperprozess ein Flashprozess sein, bei dem die Temperzeit zwischen etwa 0,5s bis etwa 5s liegen kann. Der Ätzprozess zum Bilden der schützenden Oxidschichten 740A-740B muss nicht unbedingt auf den Temperprozess folgen und kann auch während der Bildung der Polysiliziumstrukturen 112A*-112B*, wie unten beschrieben, oder als ein separater Ätzprozess nach der Bildung der Polysiliziumstrukturen 112A*-112B* durchgeführt werden.
  • Auf das Tempern der flächendeckend abgeschiedenen Oxidmaterialschicht für die Oxidschutzschichten 740A-740B kann das Bilden von Polysiliziumstrukturen 112A*-112B* folgen, wie in den 7A-7B gezeigt. Während der anschließenden Verarbeitung können die Polysiliziumstrukturen 112A*-112B* in einem Gate-Ersatzprozess ersetzt werden, um jeweilige Gate-Strukturen 112A-112B zu bilden. In einigen Ausführungsformen kann der Prozess zum Bilden von Polysiliziumstrukturen 112A*-112B* das flächendeckende Abscheiden einer Schicht aus Polysiliziummaterial auf der getemperten Schicht aus Oxidmaterial für schützende Oxidschichten 740A-740B und das Ätzen der flächendeckend abgeschiedenen Schicht aus Polysiliziummaterial durch strukturierte Hartmaskenschichten 742A-742B, die auf der Schicht aus Polysiliziummaterial gebildet wurden, umfassen. In einigen Ausführungsformen kann das Polysiliziummaterial undotiert sein, und die Hartmaskenschichten 742A-742B können eine Oxidschicht und/oder eine Nitridschicht aufweisen. Die Oxidschicht kann unter Verwendung eines thermischen Oxidationsprozesses gebildet werden, und die Nitridschicht kann durch LPCVD oder PECVD gebildet werden. Hartmaskenschichten 742A-742B können die Polysiliziumstrukturen 112A*-112B* vor nachfolgenden Verarbeitungsschritten schützen (zum Beispiel während der Bildung von inneren Abstandshaltern 113A-113, äußeren Abstandshaltern 114A-114B, epitaxialen Finnenregionen 110A-110B, der ILD-Schicht 118 und/oder der ESL 116).
  • Das flächendeckende Abscheiden der Schicht aus Polysiliziummaterial kann CVD, PVD, ALD oder andere geeignete Abscheidungsprozesse umfassen. In einigen Ausführungsformen kann das Ätzen der flächendeckend abgeschiedenen Schicht aus Polysiliziummaterial einen Trockenätzprozess, einen Nassätzprozess oder eine Kombination davon umfassen. In einigen Ausführungsformen kann das Ätzen der flächendeckend abgeschiedenen Schicht aus Polysiliziummaterial vier Ätzschritte umfassen. Der erste Polysilizium-Ätzschritt kann die Verwendung eines Gasgemisches umfassen, das Bromwasserstoff (HBr), Sauerstoff (O2), Fluoroform (CHF3) und Chlor (Cl2) enthält. Der zweite Polysilizium-Ätzschritt kann die Verwendung eines Gasgemisches, das HBr, O2, Cl2 und Stickstoff (N2) enthält, bei einem Druck von etwa 45 mTorr bis etwa 60 mTorr umfassen. Der dritte Polysilizium-Ätzschritt kann die Verwendung eines Gasgemisches, das HBr, O2, Cl2, N2 und Argon (Ar) enthält, bei einem Druck von etwa 45 mTorr bis etwa 60 mTorr umfassen. Der vierte Polysilizium-Ätzschritt kann die Verwendung eines Gasgemisches, das HBr, O2, Cl2 und N2 enthält, bei einem Druck von etwa 45 mTorr bis etwa 60 mTorr umfassen. Zusammen mit dem Polysiliziummaterial kann der vierte Polysilizium-Ätzschritt gemäß einigen Ausführungsformen Abschnitte der getemperten, flächendeckend abgeschiedenen Schicht des Oxidmaterials für die schützenden Oxidschichten 740A-740B entfernen, die nicht von den Polysiliziumstrukturen 112A*-112B* bedeckt sind. Der erste Polysilizium-Ätzschritt kann eine höhere Polysilizium-Ätzrate aufweisen als der zweite, dritte und/oder vierte Polysilizium-Ätzschritt. Der erste Polysilizium-Ätzschritt kann zum Ätzen unerwünschter Abschnitte der flächendeckend abgeschiedenen Schicht aus Polysiliziummaterial über den Finnenstrukturen 108A*-108B* verwendet werden. Der zweite, dritte und vierte Polysilizium-Ätzschritt kann zum Ätzen unerwünschter Abschnitte der flächendeckend abgeschiedenen Schicht aus Polysiliziummaterial innerhalb der Räume 743 mit hohem Seitenverhältnis verwendet werden.
  • In einigen Ausführungsformen können die vertikalen Dimensionen der Polysiliziumstrukturen 112A*-112B* entlang einer Z-Achse auf Oberseiten der Finnenstrukturen 108A*-108B* in einem Bereich von etwa 40 nm bis etwa 60 nm liegen. Polysiliziumstrukturen 112A*-112B* können ein Seitenverhältnis von mindestens etwa 9 haben, wobei das Seitenverhältnis ein Verhältnis einer vertikalen Dimension entlang einer Z-Achse zu einer horizontalen Dimension entlang einer Y-Achse der Polysiliziumstrukturen 112A*-112B* ist. In einigen Ausführungsformen können die horizontalen Dimensionen zwischen den Mittellinien benachbarter Polysiliziumstrukturen 112A*-112B* entlang einer Y-Achse (zum Beispiel die Beabstandung) in einem Bereich von etwa 30 nm bis etwa 70 nm liegen.
  • Nach der Bildung der Polysiliziumstrukturen 112A*-112B* können die Abschnitte der flächendeckend abgeschiedenen Oxidschicht, die nicht von den Polysiliziumstrukturen 112A*-112B* bedeckt sind, durch einen Trocken- oder einen Nassätzprozess entfernt werden, wenn sie nicht während des vierten Polysilizium-Ätzschrittes entfernt wurden, um die Struktur der 7A-7B zu bilden. Die Struktur der 7A-7B weist Polysiliziumstrukturen 112A*-112B* und schützende Oxidschichten 740A-740B auf, die auf Stapeln nanostrukturierter Regionen 120B bzw. 122B (7B) abgeschieden sind, und weist Stapel nanostrukturierter Regionen 120A und 122A (7A) auf, die sich von beiden Seiten der Polysiliziumstrukturen 112A*-112B* entlang einer X-Achse heraus erstrecken.
  • In einigen Ausführungsformen können die schützenden Oxidschichten 740A-740B vertikale Dimensionen (zum Beispiel eine Dicke auf Oberseiten der Finnenstrukturen 108A*-108B*) entlang einer Z-Achse und horizontale Dimensionen (zum Beispiel eine Dicke an Seitenwänden der Finnenstrukturen 108A*-108B*) entlang einer Y-Achse im Bereich von etwa 1 nm bis etwa 3 nm haben. In einigen Ausführungsformen können die vertikalen Dimensionen mindestens so groß wie die horizontalen Dimensionen sein. Das Vorhandensein von schützenden Oxidschichten 740A-740B erlaubt das Ätzen von Polysiliziummaterial aus Räumen 743 mit hohem Seitenverhältnis (zum Beispiel ein Seitenverhältnis größer als 1:15, 1:18 oder 1:20), ohne die Finnenstrukturen 108A*-108B* während der Bildung von Polysiliziumstrukturen 112A*-112B* wesentlich zu ätzen und/oder zu beschädigen.
  • Wie in 3 gezeigt, werden in der Operation 325 äußere Abstandshalter an Seitenwänden der Polysiliziumstrukturen und auf Passivierungsschichten gebildet. Zum Beispiel können äußere Abstandshalter 114A-114B an Seitenwänden der Polysiliziumstrukturen 112A*-112B* und auf Abschnitten der Passivierungsschichten 109A-109B, die nicht durch Polysiliziumstrukturen 112A*-112B* bedeckt sind, gebildet werden, wie in Bezug auf die 8A-8B beschrieben. Der Prozess zum Bilden äußerer Abstandshalter 114A-114B kann das flächendeckende Abscheiden einer Schicht eines isolierenden Materials (zum Beispiel eines Oxid- oder Nitridmaterials) auf der Struktur von 7A durch einen CVD-, einen PVD- oder einen ALD-Prozess umfassen, gefolgt von Photolithografie und einem Ätzprozess (zum Beispiel reaktives Ionenätzen oder ein anderer Trockenätzprozess unter Verwendung eines Ätzmittels auf Chlor- oder Fluorbasis).
  • Wie in 3 gezeigt, werden in Operation 330 innere Abstandshalter und epitaxiale Finnenregionen auf den Finnenstrukturen gebildet. Zum Beispiel können innere Abstandshalter 113A-113B und epitaxiale Finnenregionen 110A-110B auf Abschnitten der Finnenstrukturen 108A*-108B* (zum Beispiel den nanostrukturierte Regionen 120A bzw. 122B) gebildet werden, die nicht unter den jeweiligen Polysiliziumstrukturen 112A*-112B* liegen, wie in Bezug auf die 9A-13D beschrieben. Die in den 9A-13D veranschaulichten Verarbeitungsschritte beschreiben die sequentielle Bildung von inneren Abstandshaltern 113A-113B und die sequentielle Bildung von epitaxialen Regionen 110A-110B für die FETs 102A-102B mit voneinander verschiedener Leitfähigkeit. Zum Beispiel kann der FET 102A vom n-Typ sein, und der FET 102B kann vom p-Typ sein. Vor der Bildung der inneren Abstandshalter 113A und der epitaxialen Regionen 110A des FET 102A kann der FET 102A durch Strukturieren einer Photoresistschicht 946 auf dem FET 102B geschützt werden, wie in den 9B-9C gezeigt. Die Photoresistschicht 946 ist in den 9A-12A aus Gründen der besseren Erkennbarkeit nicht gezeigt.
  • Der Prozess zum Bilden der inneren Abstandshalter 113A des FET 102A kann das Ätzen von Abschnitten der äußeren Abstandshalter 114A aus dem Stapel der nanostrukturierten Regionen 120A und 122A umfassen, die sich von beiden Seiten der Polysiliziumstruktur 112A* entlang einer X-Achse heraus erstrecken. Der Ätzprozess kann einen Trockenätzprozess mit Ätzgasen wie zum Beispiel CH4, O2 und CH3F umfassen. Das Strömungsratenverhältnis von CH4:O2:CH3F kann von etwa 1:1:1 bis etwa 1:2:4 reichen. Der Ätzprozess kann bei einer hohen Vorspannung im Bereich von etwa 300 V bis etwa 450 V durchgeführt werden.
  • Der Prozess zum Bilden von inneren Abstandshaltern 113A kann des Weiteren das Ätzen der nanostrukturierten Regionen 122A aus dem Stapel der nanostrukturierten Regionen 120A und 122A nach dem Ätzen der äußeren Abstandshalter 114A umfassen. In einigen Ausführungsformen können die nanostrukturierten Regionen 120A und 122A Si ohne einen wesentlichen Betrag an Ge bzw. SiGe enthalten (zum Beispiel kein Ge bzw. SiGe), und das Ätzen der nanostrukturierten Regionen 122A kann die Verwendung eines Trockenätzprozesses umfassen, der eine höhere Ätzselektivität für SiGe als für Si aufweist. Zum Beispiel können Chemikalien auf Halogenbasis eine Ätzselektivität aufweisen, die für Ge höher ist als für Si. Daher können Halogengase SiGe schneller ätzen als Si. In einigen Ausführungsformen können die Chemikalien auf Halogenbasis Gase auf Fluorbasis und/oder Chlorbasis enthalten. Alternativ kann das Ätzen der nanostrukturierten Regionen 122A die Verwendung eines Nassätzprozesses mit einer höheren Selektivität für SiGe als für Si umfassen. Zum Beispiel kann der Nassätzprozess die Verwendung eines Gemisches aus Schwefelsäure (H2SO4) und Wasserstoffperoxid (H2O2) (SPM) und/oder eines Gemisches aus Ammoniakhydroxid (NH4OH) mit H2O2 und ent-ionisiertem (DI) Wasser (APM) umfassen.
  • Im Ergebnis des Ätzens der nanostrukturierten Regionen 122A können suspendierte nanostrukturierte Regionen 120A mit Öffnungen 1048 dazwischen gebildet werden, wie in den 10A und 10D gezeigt. Außerdem kann das Ätzen der nanostrukturierten Regionen 122A ein lineares Ätzprofil 122Bs1 oder ein gekrümmtes Ätzprofil 122Bs1 (mit einer gekrümmten Strichlinie gezeigt) der Seitenwände der nanostrukturierten Regionen 122B, die unter der Polysiliziumstruktur 112A* liegen, erzeugen, wie in 10D gezeigt. Der Ätzprozess kann so gesteuert werden, dass sich die Öffnungen 1048 entlang einer X-Achse mindestens unter den äußeren Abstandshaltern 114A erstrecken und die Seitenwände der nanostrukturierten Regionen 122B im Wesentlichen auf die Grenzflächen 114As zwischen den äußeren Abstandshaltern 114A und der Polysiliziumstruktur 112A* ausgerichtet sind, wie in 10D gezeigt. In einigen Ausführungsformen können sich Öffnungen 1048 des Weiteren entlang einer X-Achse unter der Polysiliziumstruktur 112A* erstrecken, dergestalt, dass die Seitenwände der nanostrukturierten Regionen 122B etwa 1 nm bis etwa 10 nm von der Grenzfläche 114As entfernt angeordnet sind. Das Erstrecken von Öffnungen 1048 unter den äußeren Abstandshaltern 114A oder der Polysiliziumstruktur 112A* kann verhindern, dass Abschnitte der nanostrukturierten Regionen 122B unter den äußeren Abstandshaltern 114A verbleiben, oder kann die Bildung der Gate-Struktur 112A unter den äußeren Abstandshaltern 114A während des Ersatzes der nanostrukturierten Regionen 122B und der Polysiliziumstruktur 112A* durch die Gate-Struktur 112A in der anschließenden Verarbeitung (zum Beispiel in der Operation 340) verhindern.
  • Der Prozess zum Bilden von inneren Abstandshaltern 113A kann des Weiteren das flächendeckende Abscheiden einer Schicht aus dielektrischem Material mit niedrigem k-Wert (nicht gezeigt) auf der Struktur von 10A umfassen, bis die Öffnungen 1048 mit der Schicht aus dielektrischem Material mit niedrigem k-Wert gefüllt oder teilweise gefüllt sind. Der flächendeckende Abscheidungsprozess kann die Verwendung eines ALD-Prozesses oder eines CVD-Prozesses erfolgen. In einigen Ausführungsformen kann der flächendeckende Abscheidungsprozess mehrere Zyklen von Abscheidens- und Ätzprozessen umfassen. In jedem Zyklus kann der Ätzprozess auf den Abscheidungsprozess folgen, um die Bildung von Leerstellen innerhalb der Schicht aus dielektrischem Material mit niedrigem k-Wert, das in Öffnungen 1048 abgeschieden wurde, zu verhindern, indem Nähte entfernt werden, die während des Einfüllens der Schicht aus dielektrischem Material mit niedrigem k-Wert innerhalb der Öffnungen 1048 entstehen könnten. Der Ätzprozess in jedem Zyklus der flächendeckenden Abscheidung kann einen Trockenätzprozess unter Verwendung eines Gasgemisches aus HF und NF3 umfassen. Das Gasverhältnis von HF zu NF3 kann im Bereich von etwa 1 bis etwa 20 liegen. In einigen Ausführungen kann das dielektrische Material mit niedrigem k-Wert Silizium, Sauerstoff, Kohlenstoff und/oder Stickstoff enthalten. Das dielektrische Material mit niedrigem k-Wert kann Siliziumoxycarbonitrid (SiOCN), Siliziumkohlenstoffnitrid (SiCN), Siliziumoxidcarbid (SiOC), Polymide, kohlenstoffdotierte Oxide, fluordotierte Oxide, wasserstoffdotierte Oxide oder eine Kombination davon enthalten.
  • Der Prozess zum Bilden von inneren Abstandshaltern 113A kann des Weiteren das Ätzen der flächendeckend abgeschiedenen Schicht aus dielektrischem Material mit niedrigem k-Wert umfassen, um die Schicht aus dielektrischem Material mit niedrigem k-Wert innerhalb der Öffnungen 1048 zurückzuätzen, um innere Abstandshalter 113A zu bilden und andere Abschnitte der Schicht aus Material mit niedrigem k-Wert von Flächen des FET 102A zu entfernen, wie in den 11A und 11D gezeigt. Das Ätzen der flächendeckend abgeschiedenen Schicht aus dielektrischem Material mit niedrigem k-Wert kann einen Trockenätzprozess unter Verwendung eines Gasgemisches aus HF und NF3 umfassen. Das Gasverhältnis von HF zu NF3 kann im Bereich von etwa 1 bis etwa 20 liegen. In einigen Ausführungsformen kann das Ätzen in zwei Ätzschritten durchgeführt werden. Im ersten Ätzschritt kann das Gasverhältnis von HF zu NF3 im Bereich von etwa 1 bis etwa 10 liegen. Der erste Ätzschritt kann Abschnitte der Schicht aus Material mit niedrigem k-Wert von Flächen des FET 102A entfernen und die Schicht aus Material mit niedrigem k-Wert innerhalb der Öffnung 1048 teilweise zurückätzen. Im zweiten Ätzschritt kann das Gasverhältnis von HF zu NF3 höher sein als im ersten Ätzschritt und kann im Bereich von etwa 5 bis etwa 20 liegen. Im zweiten Ätzschritt kann die Struktur der inneren Abstandshalter 113A erhalten werden, wie in 11D gezeigt. In einigen Ausführungsformen folgen die Grenzflächen 113As zwischen den inneren Abstandshaltern 113A und den nanostrukturierten Regionen 122B dem Ätzprofil der Seitenwände der nanostrukturierten Regionen 122B. Zum Beispiel können die Grenzflächen 113As ein lineares Profil haben, wie in 11D gezeigt, wenn die Seitenwände der nanostrukturierten Regionen 122B ein lineares Ätzprofil 122Bs1 haben (10D), oder die Grenzfläche 113As kann ein gekrümmtes Profil (nicht gezeigt) haben, wenn die Seitenwände der nanostrukturierten Regionen 122B ein gekrümmtes Ätzprofil 122Bs1 haben (10D und 11D).
  • Wie in den 12A-12D zu sehen, können epitaxiale Finnenregionen 110A um die suspendierten nanostrukturierten Regionen 120A herum gezüchtet werden, nachdem die inneren Abstandshalter 113A gebildet wurden. In einigen Ausführungsformen können die epitaxialen Finnenregionen 110A gezüchtet werden durch: (i) CVD, wie zum Beispiel Niederdruck-CVD (Low Pressure CVD, LPCVD), Atomschicht-CVD (Atomic Layer CVD, ALCVD), Ultrahochvakuum-CVD (Ultrahigh Vacuum CVD, UHVCVD), druckreduzierte CVD (Reduced Pressure CVD, RPCVD), oder jede andere geeignete CVD, (ii) Molekularstrahlepitaxie (Molecular Beam Epitaxy, MBE), (iii) jeden anderen geeigneten Epitaxieprozess, oder (iv) eine Kombination davon. In einigen Ausführungsformen können die epitaxialen Finnenregionen 110A durch einen epitaxialen Abscheidungs-/Teilätzprozess gezüchtet werden, wobei der epitaxiale Abscheidungs-/Teilätzprozess mindestens einmal wiederholt wird. In einigen Ausführungsformen können die epitaxialen Finnenregionen 110A vom p- Typ sein, wenn die nanostrukturierten Regionen 120A SiGe enthalten, oder können vom n-Typ sein, wenn die nanostrukturierten Regionen 120A Si ohne einen wesentlichen Betrag an Ge enthalten (zum Beispiel kein Ge). Epitaxiale Finnenregionen 110A vom p-Typ können SiGe enthalten und können während des epitaxialen Wachstumsprozesses mittels Dotanden vom p-Typ wie zum Beispiel Bor, Indium oder Gallium, in-situ dotiert werden. Für die in-situ-Dotierung vom p-Typ können Dotierungsvorläufer vom p-Typ wie zum Beispiel Diboran (B2H6), Bortrifluorid (BF3) und/oder andere Dotierungsvorläufer vom p-Typ verwendet werden. Epitaxiale Finnenregionen 110A vom n-Typ können Si ohne einen wesentlichen Betrag an Ge enthalten (zum Beispiel kein Ge) und können während des epitaxialen Wachstumsprozesses mit Dotanden vom n-Typ, wie zum Beispiel Phosphor oder Arsen, in-situ dotiert werden. Für die in-situ-Dotierung vom n-Typ können Dotierungsvorläufer vom n-Typ wie zum Beispiel Phosphin (PH3), Arsin (AsH3) und/oder andere Dotierungsvorläufer vom n-Typ verwendet werden.
  • In einigen Ausführungsformen können anstelle des Bildens epitaxialer Finnenregionen 110A um die suspendierten nanostrukturierten Regionen 120A herum, wie in den 12A und 12D gezeigt, epitaxiale Finnenregionen 110A auf dem Finnenbasisabschnitt 119A gezüchtet werden, wie in den 1E und 1G gezeigt. Die epitaxialen Finnenregionen 110A, wie zum Beispiel in 1G gezeigt, können auf dem Finnenbasisabschnitt 119A gezüchtet werden, nachdem die suspendierten nanostrukturierten Regionen 120A im Anschluss an das Bilden der inneren Abstandshalter 113A entfernt wurden. Der Prozess zum Ätzen der suspendierten nanostrukturierten Regionen 120A kann einen Trockenätzprozess mit Ätzgasen, wie zum Beispiel CH4, O2 und CH3F, umfassen. Das Strömungsratenverhältnis von CH4:O2:CH3F kann von etwa 1:1:1 bis etwa 1:2:4 reichen. Der Ätzprozess kann bei einer hohen Vorspannung im Bereich von etwa 300 V bis etwa 450 V durchgeführt werden. Das Ätzen der suspendierten nanostrukturierten Regionen 120A kann die Verwendung eines Nassätzprozesses mit höherer Selektivität für Si als für SiGe umfassen. Zum Beispiel kann der Nassätzprozess die Verwendung eines Gemisches (NH4OH) mit HCl umfassen.
  • Nach der Bildung der inneren Abstandshalter 113A und der epitaxialen Regionen 110A des FET 102A kann die Photoresistschicht 946 von dem FET 102B entfernt werden, und eine weitere Photoresistschicht 1346 kann auf dem FET 102A strukturiert werden (siehe 13B und 13D), um den FET 102A während der anschließenden Verarbeitungsschritte zum Bilden innerer Abstandshalter 113B und epitaxialer Regionen 110B des FET 102B zu schützen, wie in Bezug auf die 13A-15D beschrieben. Die Photoresistschicht 1346 ist in den 13A-15A aus Gründen der besseren Erkennbarkeit nicht gezeigt.
  • Wie in den 13A-13D gezeigt, kann der Prozess zum Bilden der inneren Abstandshalter 113B das Ätzen von Abschnitten der äußeren Abstandshalter 114B aus dem Stapel der nanostrukturierten Regionen 120A und 122A umfassen, die sich von beiden Seiten der Polysiliziumstruktur 112B* entlang einer X-Achse heraus erstrecken, gefolgt von einem Ätzen der nanostrukturierten Regionen 120A aus dem Stapel der nanostrukturierten Regionen 120A und 122A. Der Prozess zum Ätzen der Abschnitte der äußeren Abstandshalter 114B kann einen Trockenätzprozess mit Ätzgasen wie zum Beispiel CH4, O2 und CH3F umfassen. Das Strömungsratenverhältnis von CH4:O2:CH3F kann von etwa 1:1:1 bis etwa 1:2:4 reichen. Der Ätzprozess kann bei einer hohen Vorspannung im Bereich von etwa 300 V bis etwa 450 V durchgeführt werden. Das Ätzen der nanostrukturierten Regionen 120A kann die Verwendung eines Nassätzprozesses mit höherer Selektivität für Si als für SiGe umfassen. Zum Beispiel kann der Nassätzprozess die Verwendung eines Gemisches (NH4OH) mit HCl umfassen.
  • Im Ergebnis des Ätzens der nanostrukturierten Regionen 120A können suspendierte nanostrukturierte Regionen 122A mit Öffnungen 1348 dazwischen gebildet werden, wie in den 13A und 13C gezeigt. Außerdem kann das Ätzen der nanostrukturierten Regionen 120A ein lineares Ätzprofil 120Bs1 oder ein im Wesentlichen dreieckiges Ätzprofil 120Bs2. (mit einer Strichlinie gezeigt) der Seitenwände der nanostrukturierten Regionen 120B, die unter der Polysiliziumstruktur 112B* liegen, erzeugen, wie in 13C gezeigt. Die Ätzprofile 120Bs2 (13C) und 122Bs1 (10D) können aufgrund der unterschiedlichen Kristallstruktur und/oder Kristallorientierung der verschiedenen Materialien der nanostrukturierten Regionen 120B bzw. 122B unterschiedlich sein. Zum Beispiel können nanostrukturierte Regionen 120B mit Si-Material das Ätzprofil 120Bs2 haben, und nanostrukturierte Regionen 122B mit SiGe können das Ätzprofil 122Bs1 haben.
  • Der Prozess zum Ätzen nanostrukturierter Regionen 120A kann so gesteuert werden, dass sich die Öffnungen 1348 entlang einer X-Achse mindestens unter den äußeren Abstandshaltern 114B erstrecken und die Seitenwände der nanostrukturierten Regionen 120B im Wesentlichen auf die Grenzfläche 11,4Bs zwischen den äußeren Abstandshaltern 114B und der Polysiliziumstruktur 112B* ausgerichtet sind, wie in 13C gezeigt. In einigen Ausführungsformen können sich Öffnungen 1348 des Weiteren entlang einer X-Achse unter der Polysiliziumstruktur 112B* erstrecken, dergestalt, dass die Seitenwände der nanostrukturierten Regionen 120B etwa 1 nm bis etwa 10 nm von den Grenzflächen 114Bs entfernt angeordnet sind. Das Erstrecken von Öffnungen 1348 unter den äußeren Abstandshaltern 114A oder der Polysiliziumstruktur 112B* kann verhindern, dass Abschnitte der nanostrukturierten Regionen 120B unter den äußeren Abstandshaltern 114B verbleiben, oder kann die Bildung der Gate-Struktur 112B unter den äußeren Abstandshaltern 114B während des Ersatzes der nanostrukturierten Regionen 120B und der Polysiliziumstruktur 112B* durch die Gate-Struktur 112B in der anschließenden Verarbeitung (zum Beispiel in der Operation 340) verhindern.
  • Der Prozess zum Bilden von inneren Abstandshaltern 113B kann des Weiteren das flächendeckende Abscheiden einer Schicht aus dielektrischem Material mit niedrigem k-Wert (nicht gezeigt) auf der Struktur von 13A umfassen, bis die Öffnungen 1348 mit der Schicht aus dielektrischem Material mit niedrigem k-Wert gefüllt oder teilweise gefüllt sind. Der flächendeckende Abscheidungsprozess kann demjenigen ähneln, der zum Abscheiden der Schicht aus dielektrischem Material mit niedrigem k-Wert innerhalb der Öffnungen 1048 zum Bilden des inneren Abstandshalters 113A verwendet wird.
  • Der Prozess zum Bilden von inneren Abstandshaltern 113B kann des Weiteren das Ätzen der flächendeckend abgeschiedenen Schicht aus dielektrischem Material mit niedrigem k-Wert umfassen, um die Schicht aus dielektrischem Material mit niedrigem k-Wert innerhalb der Öffnungen 1348 zurückzuätzen, um innere Abstandshalter 113A zu bilden und andere Abschnitte der Schicht aus Material mit niedrigem k-Wert von Flächen des FET 102B zu entfernen, wie in den 14A und 14C gezeigt. Das Ätzen der flächendeckend abgeschiedenen Schicht aus dielektrischem Material mit niedrigem k-Wert kann einen Trockenätzprozess unter Verwendung eines Gasgemisches aus HF und NF3 umfassen. Das Gasverhältnis von HF zu NF3 kann im Bereich von etwa 1 bis etwa 20 liegen. In einigen Ausführungsformen kann das Ätzen in zwei Ätzschritten durchgeführt werden. Im ersten Ätzschritt kann das Gasverhältnis von HF zu NF3 im Bereich von etwa 1 bis etwa 10 liegen. Der erste Ätzschritt kann Abschnitte der Schicht aus Material mit niedrigem k-Wert von Flächen des FET 102B entfernen und die Schicht aus Material mit niedrigem k-Wert innerhalb der Öffnung 1348 teilweise zurückätzen. Im zweiten Ätzschritt kann das Gasverhältnis von HF zu NF3 höher sein als im ersten Ätzschritt und kann im Bereich von etwa 5 bis etwa 20 liegen. Im zweiten Ätzschritt kann die Struktur der inneren Abstandshalter 113B erhalten werden, wie in 14C gezeigt. In einigen Ausführungsformen folgen die Grenzflächen 113Bs zwischen den inneren Abstandshaltern 113B und den nanostrukturierten Regionen 120B dem Ätzprofil der Seitenwände der nanostrukturierten Regionen 120B. Zum Beispiel können die Grenzflächen 113Bs ein lineares Profil haben, wie in 14C gezeigt, wenn die Seitenwände der nanostrukturierten Regionen 122B ein lineares Ätzprofil 122Bs1 haben (10D), oder die Grenzflächen 113Bs können ein dreieckiges Profil (nicht gezeigt) haben, wenn die Seitenwände der nanostrukturierten Regionen 122B ein dreieckiges Ätzprofil 122Bs1 haben (13C und 14C).
  • Wie in den 15A-15D zu sehen, können epitaxiale Finnenregionen 110B um die suspendierten nanostrukturierten Regionen 122A herum gezüchtet werden, nachdem die inneren Abstandshalter 113B gebildet wurden. Die epitaxialen Finnenregionen 110B können ähnlich wie die epitaxialen Finnenregionen 110A, die in Bezug auf die 12A-12D beschrieben sind, gezüchtet werden. In einigen Ausführungsformen können die epitaxialen Finnenregionen 110B vom p-Typ sein, wenn die nanostrukturierten Regionen 122A SiGe enthalten, oder können vom n-Typ sein, wenn die nanostrukturierten Regionen 122A Si ohne einen wesentlichen Betrag an Ge enthalten (zum Beispiel kein Ge). Nach der Bildung der inneren Abstandshalter 113B und der epitaxialen Regionen 110B kann die Photoresistschicht 1346 von dem FET 102A entfernt werden, wie in den 15B und 15D gezeigt.
  • Ähnlich den epitaxialen Finnenregionen 110A können in einigen Ausführungsformen anstelle des Bildens epitaxialer Finnenregionen 110B um die suspendierten nanostrukturierten Regionen 122A herum, wie in den 15A und 15D gezeigt, epitaxiale Finnenregionen 110B auf dem Finnenbasisabschnitt 119B gezüchtet werden, wie in den 1E und 1F gezeigt. Die epitaxialen Finnenregionen 110B, wie zum Beispiel in 1F gezeigt, können auf dem Finnenbasisabschnitt 119B gezüchtet werden, nachdem die suspendierten nanostrukturierten Regionen 122A im Anschluss an das Bilden der inneren Abstandshalter 113B entfernt wurden. Der Prozess des Entfernens der suspendierten nanostrukturierten Regionen 122A kann die Verwendung eines Trockenätzprozesses umfassen, der eine höhere Ätzselektivität für SiGe als für Si aufweist. Zum Beispiel können Chemikalien auf Halogenbasis eine Ätzselektivität aufweisen, die für Ge höher ist als für Si. Daher können Halogengase SiGe schneller ätzen als Si. In einigen Ausführungsformen können die Chemikalien auf Halogenbasis Gase auf Fluorbasis und/oder Chlorbasis enthalten. Alternativ kann das Ätzen der nanostrukturierten Regionen 122A die Verwendung eines Nassätzprozesses mit einer höheren Selektivität für SiGe als für Si umfassen. Zum Beispiel kann der Nassätzprozess die Verwendung eines Gemisches aus Schwefelsäure (H2SO4) und Wasserstoffperoxid (H2O2) (SPM) und/oder eines Gemisches aus Ammoniakhydroxid (NH4OH) mit H2O2 und ent-ionisiertem (DI) Wasser (APM) umfassen.
  • In einigen Ausführungsformen können die Prozessschritte zum Bilden der inneren Abstandshalter 113A-113B gleichzeitig ohne Verwendung der Photoresistschichten 946 und 1346 durchgeführt werden, wenn beide FETs 102A-102B vom gleichen Leitfähigkeitstyp sind (zum Beispiel n-Typ oder p-Typ). In ähnlicher Weise können die Prozessschritte zum Bilden epitaxialer Finnenregionen 110A-11B gleichzeitig ohne Verwendung der Photoresistschichten 946 und 1346 nach gleichzeitiger Bildung innerer Abstandshalter 113A-113B durchgeführt werden, wenn beide FETs 102A-102B vom gleichen Leitfähigkeitstyp sind.
  • Wie in 3 gezeigt, werden in Operation 335 nanostrukturierte Kern-Mantel-Kanalregionen zwischen den epitaxialen Finnenregionen gebildet. Zum Beispiel können die nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 nacheinander in Regionen der Finnenstrukturen 108A*-108B* gebildet werden, die unter den Polysiliziumstrukturen 112A*-112B* liegen, wie in Bezug auf die 16A-23D beschrieben. Vor der Bildung nanostrukturierter Kern-Mantel-Kanalregionen 121 und 123 kann die ESL 116 auf der Struktur von 15A abgeschieden werden, und das ILD 118 kann auf der ESL 116 abgeschieden werden.
  • In einigen Ausführungsformen kann die ESL 116 aus Materialien gebildet werden, die SiNx, SiOx, SiON, SiC, SiCN, BN, SiBN, SiCBN oder einer Kombination davon enthalten. Die Bildung der ESL 116 kann das flächendeckende Abscheiden einer Materialschicht für die ESL 116 auf der Struktur von 15A unter Verwendung von PECVD, subatmosphärischer chemischer Aufdampfung (Sub Atmospheric Chemical Vapor Deposition, SACVD), LPCVD, ALD, hochdichtem Plasma (HDP), plasmaunterstützter Atomschichtabscheidung (Plasma Enhanced Atomic Layer Deposition, PEALD), Molekularschichtabscheidung (Molecular Layer Deposition, MLD), chemischer Plasmaimpulsaufdampfung (Plasma Impulse Chemical Vapor Deposition, PICVD) oder anderer geeigneter Abscheidungsverfahren umfassen.
  • Auf das flächendeckende Abscheiden der Materialschicht für die ESL 116 kann ein flächendeckendes Abscheiden einer Schicht aus dielektrischem Material für das ILD 118 folgen. In einigen Ausführungsformen kann das dielektrische Material Siliziumoxid sein. Die Schicht aus dielektrischem Material kann unter Verwendung eines Abscheidungsverfahrens abgeschieden werden, das für fließfähige dielektrische Materialien geeignet ist (zum Beispiel fließfähiges Siliziumoxid, fließfähiges Siliziumnitrid, fließfähiges Siliziumoxynitrid, fließfähiges Siliziumcarbid oder fließfähiges Siliziumoxycarbid). Fließfähiges Siliziumoxid kann zum Beispiel unter Verwendung eines FCVD-Prozesses abgeschieden werden. Auf den flächendeckenden Abscheidungsprozess kann ein thermisches Tempern der abgeschiedenen Schicht aus dielektrischem Material in Dampf bei einer Temperatur im Bereich von etwa 200°C bis etwa 700°C über einen Zeitraum im Bereich von etwa 30 Minuten bis etwa 120 Minuten folgen. Auf das thermische Tempern kann ein CMP-Prozess folgen, um die Oberseiten der ESL 116, der ILD 118, der äußeren Abstandshalter 114A-114B und der Polysiliziumstrukturen 112A*-112B* miteinander zu koplanarisieren, wie in 16A gezeigt. Während des CMP-Prozesses können die Hartmaskenschichten 742A-742B entfernt werden.
  • Nach dem CMP-Prozess können nanostrukturierte Kernregionen 121A des FET 102A gebildet werden, wie in den 17B-18B und 17D-18D gezeigt. Der Prozess zum Bilden nanostrukturierter Kernregionen 121A kann folgende aufeinanderfolgende Schritte umfassen: (i) Bilden einer Maskierungsschicht 1650 (zum Beispiel einer Photoresistschicht oder einer Nitridschicht) auf dem FET 102B, wie in den 16A-16C gezeigt, (ii) Ätzen der Polysiliziumstruktur 112A* und der schützenden Oxidschicht 740A von der Struktur von 16A, (iii) Entfernen der nanostrukturierten Regionen 122B von der Struktur von 16A, um die Struktur von 17A zu bilden, und (iv) Ätzen der nanostrukturierten Regionen 120B der Struktur von 17A, um nanostrukturierte Kernregionen 121A zu bilden, wie in den 18B und 18D gezeigt. In einigen Ausführungsformen können die Polysiliziumstruktur 112A* und die schützende Oxidschicht 740A unter Verwendung des ersten, zweiten, dritten und/oder vierten Polysiliziumätzschrittes, wie in Operation 320 beschrieben, geätzt werden. In einigen Ausführungsformen können nanostrukturierte Regionen 122B unter Verwendung eines Nassätzprozesses oder eines Trockenätzprozesses entfernt werden, der demjenigen ähnelt, der für die nanostrukturierten Regionen 122A, die mit Bezug auf die 10A-10D beschrieben sind, verwendet wird. Im Ergebnis des Ätzens der nanostrukturierten Regionen 122B werden um die nanostrukturierten Regionen 120B herum Öffnungen 1752 gebildet, wie in den 17B und 17D gezeigt. Die nanostrukturierten Regionen 120B können unter Verwendung eines Nassätzprozesses mit einer höheren Selektivität für Si als für SiGe selektiv geätzt werden, um die Dimensionen der nanostrukturierten Regionen 120B entlang einer Z-Achse und/oder einer Y-Achse zu reduzieren. Zum Beispiel kann der Nassätzprozess die Verwendung eines Gemisches (NH4OH) mit HCl umfassen. Im Ergebnis des Nassätzprozesses können Nanostruktur-Kernregionen 121A mit rechteckigen Querschnitten gebildet werden, wie in 18B gezeigt. In einigen Ausführungsformen können die rechteckigen Querschnitte der nanostrukturierten Kernregionen 121A so modifiziert werden, dass sie kreisförmige oder elliptische Querschnitte aufweisen, indem ein thermischer Temperprozess an der Struktur von 18A durchgeführt wird. Der thermische Temperprozess kann das Tempern nanostrukturierter Kernregionen 121A in Wasserstoff bei einer Temperatur im Bereich von etwa 600°C bis etwa 700°C und bei einem Druck im Bereich von etwa 10 Torr bis etwa 30 Torr über einen Zeitraum im Bereich von etwa 50 Sekunden bis etwa 90 Sekunden umfassen. Die Parameter und Ätzmittel für die Bildung nanostrukturierter Kernregionen 121A können so gesteuert werden, dass die unter den epitaxialen Finnenregionen 110A liegenden Finnenregionen 120A nicht entfernt werden.
  • Im Anschluss an den Nassätzprozess zum Bilden nanostrukturierter Kernregionen 121A mit rechteckigen Querschnitten (18B) oder den thermischen Temperprozess zum Bilden nanostrukturierter Kernregionen 121A mit kreisförmigen oder elliptischen Querschnitten (nicht gezeigt) können nanostrukturierte Mantelregionen 123A auf nanostrukturierten Kernregionen 121A mit rechteckigen Querschnitten, wie in den 19B und 19D gezeigt, oder auf nanostrukturierten Kernregionen 121A mit kreisförmigen oder elliptischen Querschnitten (nicht gezeigt) epitaxial gezüchtet werden, um nanostrukturierte Kern-Mantel-Kanalregionen 121 zu bilden (19B und 19D). In einigen Ausführungsformen können nanostrukturierte Mantelregionen 121B durch einen epitaxialen Abscheidungs-/Teilätzprozess epitaxial gezüchtet werden, wobei der epitaxiale Abscheidungs-/Teilätzprozess mindestens einmal wiederholt wird. Ein solcher wiederholter Abscheidungs-/Teilätzprozess wird auch als „zyklischer Abscheidungsprozess“ (Cyclic Deposition-Etch, CDE) bezeichnet. In einigen Ausführungsformen können nanostrukturierte Mantelregionen 121B durch selektives epitaxiales Wachstum (Selective Epitaxial Growth, SEG) epitaxial gezüchtet werden, wobei ein Ätzgas hinzugefügt wird, um das selektive Wachstum von Halbleitermaterial auf nanostrukturierten Kernregionen 121A zu fördern, jedoch nicht auf anderen Flächen der Struktur von 19A.
  • In einigen Ausführungsformen können im Ergebnis des epitaxialen Wachstums nanostrukturierte Mantelregionen 121B mit rautenförmigen Querschnitten, wie in 19B gezeigt, und mit Flächenebenen, die eine (111)-Kristallorientierung aufweisen, gebildet werden. In einigen Ausführungsformen können die rautenförmigen Querschnitte der nanostrukturierten Mantelregionen 121B so modifiziert werden, dass sie kreisförmige oder elliptische Querschnitte aufweisen, indem die Struktur von 19A einem thermischen Temperprozess unterzogen wird. Der thermische Temperprozess kann das Tempern nanostrukturierter Mantelregionen 121B in Wasserstoff bei einer Temperatur im Bereich von etwa 600°C bis etwa 700°C und bei einem Druck im Bereich von etwa 10 Torr bis etwa 30 Torr über einen Zeitraum im Bereich von etwa 50 Sekunden bis etwa 90 Sekunden umfassen.
  • Nach dem Bilden nanostrukturierter Kern-Mantel-Kanalregionen 121 können nanostrukturierte Kern-Mantel-Kanalregionen 123 des FET 102B gebildet werden, wie in den 21A-22D gezeigt. Der Prozess zum Bilden nanostrukturierter Kern-Mantel-Kanalregionen 123 kann folgende aufeinanderfolgenden Schritte umfassen: (i) Entfernen der Maskierungsschicht 1650, (ii) Bilden einer Maskierungsschicht 1850 (zum Beispiel einer Photoresistschicht oder einer Nitridschicht) innerhalb der Öffnung 1752 (in den 17B und 17D gezeigt), um die nanostrukturierten Kern-Mantel-Kanalregionen 121 zu schützen, wie in den 20B und 20D gezeigt, (iii) Ätzen der Polysiliziumstruktur 112B* und der schützenden Oxidschicht 740B, (iv) Entfernen der nanostrukturierten Regionen 120B von der Struktur von 19A zum Bilden der Struktur von 20A, (v) Ätzen von nanostrukturierten Regionen 122B der Struktur von 20A zum Bilden nanostrukturierter Kernregionen 123A, wie in den 21B-21C gezeigt, und (vi) epitaxiales Züchten von nanostrukturierten Mantelregionen 123B auf nanostrukturierten Kernregionen 123A, wie in den 22B-22C gezeigt.
  • Ähnlich dem Ätzen der Polysiliziumstruktur 112A* und der schützenden Oxidschicht 740A können die Polysiliziumstruktur 112B* und die schützende Oxidschicht 740B mittels des ersten, zweiten, dritten und/oder vierten Polysiliziumätzschrittes, die in Operation 320 beschrieben sind, geätzt werden. In einigen Ausführungsformen können nanostrukturierte Regionen 120B unter Verwendung eines Nassätzprozesses geätzt werden, der demjenigen ähnelt, der für die nanostrukturierten Regionen 120A verwendet wird, die mit Bezug auf die 13A-13D beschrieben ist. Im Ergebnis des Ätzens der nanostrukturierten Regionen 120B werden um die nanostrukturierten Regionen 122B herum Öffnungen 1852 gebildet, wie in den 20B-20C gezeigt. Die nanostrukturierten Regionen 122B können unter Verwendung eines Trockenätzprozesses mit einer höheren Ätzselektivität für SiGe als für Si selektiv geätzt werden, um die Dimensionen der nanostrukturierten Regionen 122B entlang einer Z-Achse und/oder einer Y-Achse zu reduzieren. Zum Beispiel können Chemikalien auf Halogenbasis eine Ätzselektivität aufweisen, die für Ge höher ist als für Si. Daher können Halogengase SiGe schneller ätzen als Si. In einigen Ausführungsformen können die Chemikalien auf Halogenbasis Gase auf Fluorbasis und/oder Chlorbasis enthalten. Alternativ kann das selektive Ätzen der nanostrukturierten Regionen 122B die Verwendung eines Nassätzprozesses mit einer höheren Selektivität für SiGe als für Si umfassen. Zum Beispiel kann der Nassätzprozess die Verwendung eines Gemisches aus Schwefelsäure (H2SO4) und Wasserstoffperoxid (H2O2) (SPM) und/oder eines Gemisches aus Ammoniakhydroxid (NH4OH) mit H2O2 und entionisiertem (DI) Wasser (APM) umfassen. Die Parameter und Ätzmittel für die Bildung nanostrukturierter Kernregionen 123A können so gesteuert werden, dass die unter den epitaxialen Finnenregionen 110B liegenden Finnenregionen 122A nicht entfernt werden.
  • Im Ergebnis des Ätzprozesses können Nanostruktur-Kernregionen 123A mit rechteckigen Querschnitten gebildet werden, wie in 21B gezeigt. In einigen Ausführungsformen können die rechteckigen Querschnitte der nanostrukturierten Kernregionen 123A so modifiziert werden, dass sie kreisförmige oder elliptische Querschnitte aufweisen, indem ein thermischer Temperprozess an der Struktur von 21A durchgeführt wird. Der thermische Temperprozess kann das Tempern nanostrukturierter Kernregionen 123A in Wasserstoff bei einer Temperatur im Bereich von etwa 600°C bis etwa 700°C und bei einem Druck im Bereich von etwa 10 Torr bis etwa 30 Torr über einen Zeitraum im Bereich von etwa 50 Sekunden bis etwa 90 Sekunden umfassen. Im Anschluss an den Ätzprozess zum Bilden nanostrukturierter Kernregionen 123A mit rechteckigen Querschnitten (18B) oder den thermischen Temperprozess zum Bilden nanostrukturierter Kernregionen 123A mit kreisförmigen oder elliptischen Querschnitten (nicht gezeigt) können nanostrukturierte Mantelregionen 123B auf nanostrukturierten Kernregionen 123A mit rechteckigen Querschnitten, wie in den 22B-22C gezeigt, oder auf nanostrukturierten Kernregionen 123A mit kreisförmigen oder elliptischen Querschnitten (nicht gezeigt) epitaxial gezüchtet werden, um nanostrukturierte Kern-Mantel-Kanalregionen 123 zu bilden (22B-22C). In einigen Ausführungsformen können die nanostrukturierten Mantelregionen 123A mittels eines CDE-Prozesses oder eines SEG-Prozesses epitaxial gezüchtet werden, ähnlich dem, der für das epitaxiale Züchten nanostrukturierter Mantelregionen 121B verwendet wird.
  • In einigen Ausführungsformen können im Ergebnis des epitaxialen Wachstums nanostrukturierte Mantelregionen 123B mit rautenförmigen Querschnitten, wie in 22B gezeigt, und mit Flächenebenen, die eine (111)-Kristallorientierung aufweisen, gebildet werden. In einigen Ausführungsformen können die rautenförmigen Querschnitte der nanostrukturierten Mantelregionen 123B so modifiziert werden, dass sie kreisförmige oder elliptische Querschnitte aufweisen, indem die Struktur von 22A einem thermischen Temperprozess unterzogen wird. Der thermische Temperprozess kann das Tempern nanostrukturierter Mantelregionen 123B in Wasserstoff bei einer Temperatur im Bereich von etwa 600°C bis etwa 700°C und bei einem Druck im Bereich von etwa 10 Torr bis etwa 30 Torr über einen Zeitraum im Bereich von etwa 50 Sekunden bis etwa 90 Sekunden umfassen. Nach Bilden der nanostrukturierten Kern-Mantel-Kanalregionen 123 des FET 102B kann die Maskierungsschicht 1850 von der Öffnung 1752 entfernt werden, um die Struktur der 23A-23D zu bilden.
  • Wie in 3 gezeigt, werden in der Operation 340 GAA-Strukturen (Gate-All-Around) auf den nanostrukturierten Kern-Mantel-Kanalregionen gebildet. Zum Beispiel können die Gate-Strukturen 112A-112B so gebildet werden, dass sie sich um die nanostrukturierten Kern-Mantel-Kanalregionen 121 und 123 herum legen, wie in Bezug auf die 23A-23D und 1A-1D beschrieben. Der Prozess zum Bilden von Gate-Strukturen 112A-112B kann folgende aufeinanderfolgende Schritte umfassen: (i) flächendeckendes Abscheiden einer Schicht aus dielektrischem Material für die Gate-Dielektrikumschichten 128A-128B auf der Struktur von 23A, (ii) flächendeckendes Abscheiden einer Schicht aus Austrittsarbeitsmetall für die Gate-Austrittsarbeitsmetallschichten 130A-130B auf der Schicht aus dielektrischem Material, und (iii) flächendeckendes Abscheiden einer Schicht aus leitfähigem Material für die Gate-Metallfüllschichten 132A-132B auf der Schicht aus Austrittsarbeitsmetall, bis die Öffnungen 1752 und 1852 gefüllt sind. In einigen Ausführungsformen, wie in 1B gezeigt, können die Schichten aus dielektrischem Material und Austrittsarbeitsmetall jeweils konforme Schichten innerhalb der Öffnungen 1752 und 1852 bilden (wie in den 23B-23D gezeigt).
  • Die Schicht aus dielektrischem Material für die Gate-Dielektrikumschichten 128A-128B kann Siliziumoxid enthalten und kann durch CVD, Atomschichtabscheidung (ALD), physikalische Aufdampfung (PVD), Elektronenstrahlverdampfung oder andere geeignete Prozesse gebildet werden. In einigen Ausführungsformen kann die Schicht aus dielektrischem Material aufweisen: (i) eine Schicht aus Siliziumoxid, Siliziumnitrid und/oder Siliziumoxynitrid, (ii) ein dielektrisches Material mit hohem k-Wert, wie zum Beispiel Hafniumoxid (HfO2), TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, (iii) ein dielektrisches Material mit hohem k-Wert mit Oxiden von Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb oder Lu, oder (iv) eine Kombination davon. Dielektrische Schichten mit hohem k-Wert können durch ALD und/oder andere geeignete Verfahren gebildet werden.
  • Die Schicht der Austrittsarbeitsmetall für die Austrittsarbeitsmetallschichten 130A-130B kann Al, Cu, W, Ti, Ta, TiN, TaN, NiSi, CoSi, Ag, TaC, TaSiN, TaCN, TiAl, TiAlN, WN, Metalllegierungen und/oder Kombinationen davon enthalten. In einigen Ausführungsformen kann die Schicht aus Austrittsarbeitsmetall Al-dotiertes Metall enthalten, wie zum Beispiel Al-dotiertes Ti, Al-dotiertes TiN, Al-dotiertes Ta oder Al-dotiertes TaN. Die Schicht des Austrittsarbeitsmetalls kann unter Verwendung eines geeigneten Prozesses wie zum Beispiel ALD, CVD, PVD, Plattieren oder Kombinationen davon abgeschieden werden. Die Schicht aus leitfähigem Material für die Gate-Elektroden 132 kann Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, Cu, W, Co, Ni, TiC, TiAlC, TaAlC, Metalllegierungen und/oder Kombinationen davon enthalten und kann durch ALD, PVD, CVD oder andere geeignete Abscheidungsprozesse gebildet werden. Die abgeschiedenen Schichten aus dielektrischem Material, Austrittsarbeitsmetall und leitfähigem Material können durch einen CMP-Prozess planarisiert werden, um die Struktur von 1A zu bilden. Der CMP-Prozess kann die Oberseiten der Gate-Dielektrikumschichten 128A-128B, der Gate-Austrittsarbeitsmetallschichten 130A-130B und der Gate-Metallfüllschichten 132A-132B mit der Oberseite der die ILD-Schicht 118 im Wesentlichen koplanarisieren, wie in den 1A-1D gezeigt.
  • Auf das Bilden der Gate-Strukturen 112A-112B kann das Bilden anderer Elemente wie zum Beispiel S/D-Kontakte, Gate-Kontakte, Durchkontaktierungen, Interconnect-Metallschichten, dielektrische Schichten, Passivierungsschichten usw. folgen, die aus Gründen der besseren Übersichtlichkeit nicht gezeigt sind.
  • Die vorliegende Offenbarung stellt beispielhafte Strukturen und Verfahren zur Verbesserung der Ladungsträgermobilität (zum Beispiel Loch- und/oder Elektronenmobilität) in FET-Vorrichtungen (zum Beispiel FETs 102A-102B) bereit. Durch Erhöhen der Ladungsträgermobilität können die Schaltgeschwindigkeiten und Ansteuerungsströme von FET-Vorrichtungen erhöht werden, was zu einer schnelleren und verbesserten Leistung von FET-Vorrichtungen führt. Die beispielhaften Strukturen und Verfahren stellen Kanalregionen mit Kern-Mantel-Nanostrukturen (zum Beispiel nanostrukturierte Kern-Mantel-Kanalregionen 121 und 123) zwischen Source/Drain-Regionen (S/D-Regionen) (zum Beispiel S/D-Regionen 126A-126B) von FET-Vorrichtungen bereit. In einigen Ausführungsformen können die Kern-Mantel-Nanostrukturen nanostrukturierte Kernregionen (zum Beispiel nanostrukturierte Kernregionen 121A und 123A) aufweisen, um die sich epitaxial gezüchtete nanostrukturierte Mantelregionen (zum Beispiel nanostrukturierte Mantelregionen 121B und 123B) herum legen. Die Kern-Mantel-Nanostrukturen können so konfiguriert werden, dass sie Zug- oder Druckverspannungen in den Kanalregionen für FET-Vorrichtungen vom n- bzw. p-Typ induzieren. Die Zug- oder Druckverspannung kann in den Kanalregionen induziert werden, indem zum Beispiel die nanostrukturierten Kern- oder Mantelregionen dotiert werden oder gitterfehlanpasste Halbleitermaterialien für die nanostrukturierten Kern- oder Mantelregionen verwendet werden. Eine solche induzierte Verspannung in den Kanalregionen kann die Mobilität von Ladungsträgern in den Kanalregionen verbessern. Die Kern-Mantel-Nanostrukturen können des Weiteren dafür konfiguriert werden, den Energiebandabstand der Kanalregionen abzustimmen und/oder die Kristallorientierung der Flächenebenen der Kanalregionen auf der Grundlage des Leitfähigkeitstyps der FET-Vorrichtungen zu modifizieren, um die Mobilität der Ladungsträger in den Kanalregionen zu verbessern. Die hier beschriebenen Kanalregionen mit Kern-Mantel-Nanostrukturen können die Schaltgeschwindigkeiten und Ansteuerungsströme von FET-Vorrichtungen im Vergleich zu FET-Vorrichtungen ohne solche Kern-Mantel-Nanostrukturen um beispielsweise etwa 20% bis etwa 40% und etwa 30% bis etwa 50% erhöhen.
  • In einigen Ausführungsformen umfasst eine Halbleitervorrichtung ein Substrat, einen Stapel nanostrukturierter Schichten mit ersten und zweiten nanostrukturierten Regionen, der auf dem Substrat angeordnet ist, und nanostrukturierte Mantelregionen, die sich um die zweiten nanostrukturierten Regionen herum legen. Die nanostrukturierten Mantelregionen und die zweiten nanostrukturierten Regionen enthalten Halbleitermaterialien, die voneinander verschieden sind. Die Halbleitervorrichtung umfasst des Weiteren erste und zweite Source/Drain-Regionen (S/D-Regionen), die auf dem Substrat angeordnet sind, und eine Gate-All-Around-Struktur (GAA-Struktur), die zwischen den ersten und zweiten S/D-Regionen angeordnet ist. Jede der ersten und zweiten S/D-Regionen weist eine epitaxiale Region auf, die um jede der ersten nanostrukturierten Regionen herum gelegt ist, und die GAA-Struktur ist um jede der nanostrukturierten Mantelregionen herum gelegt.
  • In einigen Ausführungsformen weist eine Halbleitervorrichtung einen ersten und einen zweiten FET auf. Der erste FET weist einen Stapel erster nanostrukturierter Schichten auf, die auf einem Substrat angeordnet sind. Jede der ersten nanostrukturierten Schichten weist einen ersten und einen zweiten nanostrukturierten Bereich auf. Der erste FET weist des Weiteren erste nanostrukturierte Mantelregionen auf, die sich um die zweiten nanostrukturierten Regionen herum legen. Die ersten nanostrukturierten Mantelregionen und die zweiten nanostrukturierten Regionen enthalten Halbleitermaterialien, die voneinander verschieden sind. Der erste FET umfasst des Weiteren einen ersten epitaxialen Bereich, der um jede der ersten nanostrukturierten Regionen herum gelegt ist, und eine erste Gate-All-Around-Struktur (GAA-Struktur), die auf dem Stapel der ersten nanostrukturierten Schichten angeordnet ist. Die GAA-Struktur ist um jede der ersten nanostrukturierten Mantelregionen herum gelegt. Der zweite FET weist einen Stapel von zweiten nanostrukturierten Schichten auf, die auf dem Substrat angeordnet sind. Jede der zweiten nanostrukturierten Schichten weist dritte und vierte nanostrukturierte Regionen auf. Der zweite FET weist des Weiteren zweite nanostrukturierte Mantelregionen auf, die um die vierten nanostrukturierten Regionen herum liegen. Die zweiten nanostrukturierten Mantelregionen und die vierten nanostrukturierten Regionen enthalten voneinander verschiedene Halbleitermaterialien, und die ersten und zweiten nanostrukturierten Mantelregionen enthalten voneinander verschiedene Materialzusammensetzungen. Der zweite FET umfasst des Weiteren eine zweite epitaxiale Region, die um jede der dritten nanostrukturierten Regionen herum gelegt ist, und eine zweite GAA-Struktur, die auf dem Stapel der zweiten nanostrukturierten Schichten angeordnet ist. Die zweite epitaxiale Region ist von einem anderen Leitfähigkeitstyp als die erste epitaxiale Region, und die GAA-Struktur ist um jede der zweiten nanostrukturierten Mantelregionen herum gelegt.
  • In einigen Ausführungsformen umfasst ein Verfahren zur Herstellung einer Halbleitervorrichtung: Bilden eines Stapels nanostrukturierter Schichten mit ersten und zweiten nanostrukturierten Regionen auf einem Substrat, Modifizieren der zweiten nanostrukturierten Regionen, um nanostrukturierte Kernregionen zu bilden, epitaxiales Züchten nanostrukturierter Mantelregionen, die sich um die nanostrukturierten Kernregionen herum legen, Züchten von ersten und zweiten epitaxialen Regionen, die sich um jede der ersten nanostrukturierten Regionen herum legen, Bilden einer Gate-All-Around-Struktur (GAA-Struktur) zwischen den ersten und zweiten epitaxialen Regionen, die sich um jede der nanostrukturierten Mantelregionen herum legen, und Bilden erster und zweiter innerer Abstandshalter entlang Seitenwänden der Gate-Teilregionen der GAA-Struktur. Die Gate-Teilregionen sind in den Stapel der nanostrukturierten Schichten eingebettet.
  • Die obige Offenbarung umreißt Merkmale verschiedener Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Halbleitervorrichtung aufweisend: ein Substrat; einen Stapel nanostrukturierter Schichten mit ersten nanostrukturierten Regionen und zweiten nanostrukturierten Regionen auf dem Substrat; nanostrukturierte Mantelregionen, die um die zweiten nanostrukturierten Regionen gewickelt sind, wobei die nanostrukturierten Mantelregionen und die zweiten nanostrukturierten Regionen Halbleitermaterialien aufweisen, die voneinander verschieden sind; eine erste S/D-Region und eine zweite S/D-Region auf dem Substrat, wobei die erste S/D-Region und die zweite S/D-Region jeweils eine epitaxiale Region aufweisen, die um jede der ersten nanostrukturierten Regionen gewickelt sind; und eine GAA-Struktur, die zwischen der ersten S/D-Region und der zweiten S/D-Region angeordnet ist und um jede der nanostrukturierten Mantelregionen gewickelt ist.
  2. Halbleitervorrichtung nach Anspruch 1, wobei die nanostrukturierten Mantelregionen und die zweiten nanostrukturierten Regionen strukturelle Zusammensetzungen aufweisen, die voneinander verschieden sind.
  3. Halbleitervorrichtung nach Anspruch 1 oder 2, wobei die nanostrukturierten Mantelregionen und die zweiten nanostrukturierten Regionen Querschnitte aufweisen, die voneinander verschieden sind.
  4. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei die nanostrukturierten Mantelregionen Flächenebenen mit einer ersten Kristallorientierung aufweisen und die zweiten nanostrukturierten Regionen Flächenebenen mit einer zweiten Kristallorientierung aufweisen, die von der ersten Kristallorientierung verschieden ist.
  5. Halbleitervorrichtung nach Anspruch 4, wobei die erste Kristallorientierung eine (111)-Kristallorientierung ist und die zweite Kristallorientierung eine (100)-Kristallorientierung ist.
  6. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei das Halbleitermaterial der nanostrukturierten Mantelregionen eine Gitterfehlanpassung bezüglich des Halbleitermaterials der zweiten nanostrukturierten Regionen aufweist.
  7. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, ferner aufweisend: einen ersten inneren Abstandshalter, der zwischen einer epitaxialen Teilregion des ersten S/D-Region und einer Gate-Teilregion der GAA-Struktur angeordnet ist; und einen zweiten inneren Abstandshalter, der zwischen einer epitaxialen Teilregion der zweiten S/D-Region und der Gate-Teilregion der GAA-Struktur angeordnet ist.
  8. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, die ferner eine Passivierungsschicht aufweist, die an Seitenwänden der ersten nanostrukturierten Regionen angeordnet ist.
  9. Halbleitervorrichtung aufweisend: einen ersten Feldeffekttransistor, FET, der Folgendes aufweist: - einen Stapel erster nanostrukturierter Schichten auf einem Substrat, wobei jede der ersten nanostrukturierten Schichten erste nanostrukturierte Regionen und zweite nanostrukturierte Regionen aufweist; - erste nanostrukturierte Mantelregionen, die um die zweiten nanostrukturierten Regionen gewickelt sind, wobei die ersten nanostrukturierten Mantelregionen und die zweiten nanostrukturierten Regionen Halbleitermaterialien aufweisen, die voneinander verschieden sind; - eine erste epitaxiale Region, die um jede der ersten nanostrukturierten Regionen gewickelt ist; und - eine erste GAA-Struktur, die auf dem Stapel der ersten nanostrukturierten Schichten angeordnet ist und um jede der ersten nanostrukturierten Mantelregionen gewickelt ist; und einen zweiten FET, der Folgendes aufweist: - einen Stapel zweiter nanostrukturierter Schichten auf dem Substrat, wobei jede der zweiten nanostrukturierten Schichten dritte nanostrukturierte Regionen und vierte nanostrukturierte Regionen umfasst; - zweite nanostrukturierte Mantelregionen, die um die vierten nanostrukturierten Regionen gewickelt sind, wobei die zweiten nanostrukturierten Mantelregionen und die vierten nanostrukturierten Regionen Halbleitermaterialien aufweisen, die voneinander verschieden sind, und wobei die ersten nanostrukturierte Regionen und die zweiten nanostrukturierten Mantelregionen Materialzusammensetzungen aufweisen, die voneinander verschieden sind; - eine zweite epitaxiale Region, die um jede der dritten nanostrukturierten Regionen gewickelt ist, wobei die zweite epitaxiale Region von einem anderen Leitfähigkeitstyp ist als die erste epitaxiale Region; und - eine zweite GAA-Struktur, die auf dem Stapel der zweiten nanostrukturierten Schichten angeordnet ist und um jede der zweiten nanostrukturierten Mantelregionen gewickelt ist.
  10. Halbleitervorrichtung nach Anspruch 9, wobei die zweiten nanostrukturierten Schichten eine andere Materialzusammensetzung als die ersten nanostrukturierten Schichten aufweisen.
  11. Halbleitervorrichtung nach Anspruch 9 oder 10, wobei die zweiten nanostrukturierten Regionen und die vierten nanostrukturierten Regionen Materialzusammensetzungen aufweisen, die voneinander verschieden sind.
  12. Halbleitervorrichtung nach einem der vorangehenden Ansprüche 9 bis 11, wobei die ersten nanostrukturierten Regionen und die zweiten nanostrukturierten Mantelregionen strukturelle Zusammensetzungen aufweisen, die voneinander verschieden sind.
  13. Halbleitervorrichtung nach einem der vorangehenden Ansprüche 9 bis 12, wobei der erste FET ferner einen ersten inneren Abstandshalter und einen zweiten inneren Abstandshalter aufweist, die innerhalb des Stapels erster nanostrukturierter Schichten angeordnet sind.
  14. Halbleitervorrichtung nach einem der vorangehenden Ansprüche 9 bis 13, wobei der zweite FET ferner einen dritten inneren Abstandshalter und einen vierten inneren Abstandshalter aufweist, die innerhalb des Stapels zweiter nanostrukturierter Schichten angeordnet sind.
  15. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Bilden eines Stapels nanostrukturierter Schichten mit ersten nanostrukturierten Regionen und zweiten nanostrukturierten Regionen auf einem Substrat; Modifizieren der zweiten nanostrukturierten Regionen, um nanostrukturierte Kernregionen zu bilden; epitaxiales Züchten nanostrukturierter Mantelregionen, die um die nanostrukturierten Kernregionen gewickelt sind; Züchten von ersten epitaxialen Regionen und zweiten epitaxialen Regionen, die um jede der ersten nanostrukturierten Regionen gewickelt sind; Bilden einer GAA-Struktur zwischen den ersten epitaxialen Regionen und zweiten epitaxialen Regionen, die um jede der nanostrukturierten Mantelregionen gewickelt sind; und Bilden eines ersten inneren Abstandshalters und eines zweiten inneren Abstandshalters entlang Seitenwänden von Gate-Teilregionen der GAA-Struktur, wobei die Gate-Teilregionen in den Stapel nanostrukturierter Schichten eingebettet sind.
  16. Verfahren nach Anspruch 15, wobei das Modifizieren der zweiten nanostrukturierten Regionen ein selektives Ätzen der zweiten nanostrukturierten Regionen umfasst, um die nanostrukturierten Kernregionen mit vertikalen Dimensionen zu bilden, die kleiner als die vertikalen Dimensionen der ersten nanostrukturierten Regionen sind.
  17. Verfahren nach Anspruch 15 oder 16, wobei das epitaxiale Züchten nanostrukturierter Mantelregionen, die um die nanostrukturierten Kernregionen gewickelt sind, epitaxiales Züchten eines Halbleitermaterials umfasst, das von den nanostrukturierten Kernregionen verschieden ist.
  18. Verfahren nach einem der vorangehenden Ansprüche 15 bis 17, wobei das epitaxiale Züchten nanostrukturierter Mantelregionen epitaxiales Züchten eines Halbleitermaterials mit einer Gitterkonstante umfasst, die von einer Gitterkonstante eines Halbleitermaterials der nanostrukturierten Kernregionen verschieden ist.
  19. Verfahren nach einem der vorangehenden Ansprüche 15 bis 18, wobei das Bilden des Stapels nanostrukturierter Schichten umfasst: epitaxiales Züchten einer ersten Halbleiterschicht und einer zweiten Halbleiterschicht unterschiedlicher Zusammensetzung in einer abwechselnden Konfiguration auf dem Substrat; und Ätzen der ersten Halbleiterschicht und der zweiten Halbleiterschicht, um eine erste nanostrukturierte Schicht und eine zweite nanostrukturierte Schicht zu bilden.
  20. Verfahren nach Anspruch 19, wobei das Bilden der GAA-Struktur umfasst: Ätzen der zweiten nanostrukturierten Schichten zum Bilden von Öffnungen zwischen benachbarten ersten nanostrukturierten Schichten; Abscheiden einer Schicht eines Gatedielektrikum-Materials innerhalb der Öffnungen; und Abscheiden einer Schicht eines elektrisch leitfähigen Materials auf der Schicht des Gatedielektrikum-Materials, um die Öffnungen zu füllen.
DE102020107564.2A 2020-03-02 2020-03-19 Kern-mantel-nanostrukturen für halbleitervorrichtungen Pending DE102020107564A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/806,597 2020-03-02
US16/806,597 US11233119B2 (en) 2020-03-02 2020-03-02 Core-shell nanostructures for semiconductor devices

Publications (1)

Publication Number Publication Date
DE102020107564A1 true DE102020107564A1 (de) 2021-09-02

Family

ID=77271407

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020107564.2A Pending DE102020107564A1 (de) 2020-03-02 2020-03-19 Kern-mantel-nanostrukturen für halbleitervorrichtungen

Country Status (5)

Country Link
US (3) US11233119B2 (de)
KR (1) KR102358825B1 (de)
CN (1) CN113345890A (de)
DE (1) DE102020107564A1 (de)
TW (1) TWI807263B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11233119B2 (en) * 2020-03-02 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Core-shell nanostructures for semiconductor devices
US12002809B2 (en) * 2021-01-26 2024-06-04 Tokyo Electron Limited Method to enhance 3D horizontal nanosheets device performance

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9525064B1 (en) * 2015-12-16 2016-12-20 International Business Machines Corporation Channel-last replacement metal-gate vertical field effect transistor
US10522694B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10833157B2 (en) * 2017-12-18 2020-11-10 International Business Machines Corporation iFinFET
US11367722B2 (en) * 2018-09-21 2022-06-21 Intel Corporation Stacked nanowire transistor structure with different channel geometries for stress
US11233119B2 (en) 2020-03-02 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Core-shell nanostructures for semiconductor devices

Also Published As

Publication number Publication date
US20210273050A1 (en) 2021-09-02
KR102358825B1 (ko) 2022-02-07
US20220149155A1 (en) 2022-05-12
US20230411455A1 (en) 2023-12-21
CN113345890A (zh) 2021-09-03
US11233119B2 (en) 2022-01-25
TW202135172A (zh) 2021-09-16
US11824089B2 (en) 2023-11-21
KR20210111658A (ko) 2021-09-13
TWI807263B (zh) 2023-07-01

Similar Documents

Publication Publication Date Title
DE102020101301A1 (de) Gatestrukturen für halbleiter-bauelemente
DE102017127206B4 (de) Verfahren zur herstellung einer kontaktstruktur für ein halbleiter-bauelement
DE102017128577B4 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren
DE102017128233A1 (de) Gate-struktur für halbleitervorrichtung
CN113345963B (zh) 半导体器件以及制造半导体器件的方法
DE102021110710A1 (de) Nanoschicht-feldeffekttransistorvorrichtung und herstellungsverfahren
DE102021100965A1 (de) Epitaxiale strukturen für halbleitervorrichtungen
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE112022000493T5 (de) Nanosheet-metall-oxid-halbleiter-feldeffekttransistor mit asymmetrischer schwellenspannung
DE102020107564A1 (de) Kern-mantel-nanostrukturen für halbleitervorrichtungen
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen
DE102021104817A1 (de) Halbleitervorrichtung und verfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102020110789A1 (de) Gate-strukturen für halbleitervorrichtungen
DE102022132143A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102020106453A1 (de) Passivierungsschichten für Halbleiter-Bauelemente
DE102022100570A1 (de) Halbleitervorrichtungen mit parasitären kanalstrukturen
DE102018107041B4 (de) Fin-feldeffekttransistor und verfahren zu seiner herstellung
DE102020114860A1 (de) Transistor-gates und verfahren zum bilden davon
DE102020132620A1 (de) Halbleitervorrichtung und Verfahren
DE102020114867A1 (de) Halbleitervorrichtung und verfahren
DE102017125352B4 (de) Verfahren zur Bildung von FinFETs
DE102021115793B4 (de) Transistor-source/drain-kontakte und verfahren zu deren bildung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication