DE102020104975B4 - Via-first-prozess zum verbinden eines kontakts und einer gate-elektrode - Google Patents

Via-first-prozess zum verbinden eines kontakts und einer gate-elektrode Download PDF

Info

Publication number
DE102020104975B4
DE102020104975B4 DE102020104975.7A DE102020104975A DE102020104975B4 DE 102020104975 B4 DE102020104975 B4 DE 102020104975B4 DE 102020104975 A DE102020104975 A DE 102020104975A DE 102020104975 B4 DE102020104975 B4 DE 102020104975B4
Authority
DE
Germany
Prior art keywords
contact
gate
layer
gate electrode
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102020104975.7A
Other languages
English (en)
Other versions
DE102020104975A1 (de
Inventor
Chao-Hsun Wang
Mei-Yun Wang
Kuo-Yi Chao
Wang-Jung HSUEH
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020104975A1 publication Critical patent/DE102020104975A1/de
Application granted granted Critical
Publication of DE102020104975B4 publication Critical patent/DE102020104975B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

Integrierte Schaltung (IC), die Folgendes aufweist:ein Substrat (118);eine Source-/Drain-Region (122), die über einer Oberseite des Substrats (118) liegt und in diese eingesetzt ist;eine Gate-Elektrode (104, 104a/104), die an die Source-/Drain-Region (122) über dem Substrat (118) angrenzt;ein Kontakt erster Ebene (106, 106b/106), der über der Source-/Drain-Region (122) liegt und elektrisch mit dieser gekoppelt ist;ein Kontakt zweiter Ebene (116), der über dem Kontakt erster Ebene (106, 106b/106) und der Gate-Elektrode (104, 104a/104) liegt; undeine Gate-Durchkontaktierung (114), die sich von dem Kontakt zweiter Ebene (116) zu der Gate-Elektrode (104, 104a/104) erstreckt, wobei eine untere Fläche (102b) des Kontakts zweiter Ebene (116) von dem Kontakt erster Ebene (106, 106b/106) nach unten zu der Gate-Durchkontaktierung (114) abgeschrägt ist.

Description

  • HINTERGRUND
  • Die Industrie der Fertigung von integrierten Schaltungen (IC, Integrated Circuit) hat über die letzten Jahrzehnte ein exponentielles Wachstum erlebt. Mit der Weiterentwicklung der ICs hat sich die Funktionsdichte (z. B. die Anzahl an verschalteten Vorrichtungen pro Chipfläche) erhöht, während sich die Merkmalsgrößen verringert haben. Eine der Technologien, die eingesetzt wird, um die Funktionsdichte weiter zu erhöhen, ist die Middle-of-the-line-Verarbeitung (MOL-Verarbeitung). Die MOL-Verarbeitung wird zwischen Front-end-of-line-Verarbeitung (FEOL-Verarbeitung) und Back-end-of-line-Verarbeitung (BEOL-Verarbeitung) durchgeführt und bildet MOL-Interconnect-Strukturen, die ein lokales Routing zwischen Vorrichtungen bereitstellen. Die MOL-Interconnect-Strukturen können mit einer hohen Dichte gebildet werden und verringern den Verbrauch von knappen Routingressourcen während der BEOL-Verarbeitung. US 2019 / 0 067 436 A1 beschreibt eine Halbleiterstruktur mit einer gemeinsamen Kontaktöffnung für eine Gate-Struktur und einen Source/Drain-Bereich. CN 103828026 A beschreibt einen integrierten Schaltkreis mit einem Kontakt zum Kontaktieren einer leitfähigen Schicht.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erörterung willkürlich vergrößert oder verkleinert sein.
    • 1A-1D veranschaulichen Querschnittsansichten von verschiedenen Ausführungsformen einer integrierten Schaltung (IC), die eine Gate-zu-Kontakt-Struktur (GC-Struktur) aufweist.
    • 2A und 2B veranschaulichen Querschnittsansichten von verschiedenen Ausführungsformen der IC von 1A, die orthogonal zu der Querschnittsansicht von 1A sind.
    • 3A und 3B veranschaulichen Querschnittsansichten von verschiedenen alternativen Ausführungsformen der IC von 1A, in welchen die GC-Struktur benachbart zu mehreren Halbleitervorrichtungen und mehreren AR-Kontakten ist.
    • 4A und 4B veranschaulichen vergrößerte Querschnittsansichten von verschiedenen Ausführungsformen der IC von 3A, in welchen eine Back-end-of-line-Region (BEOL-Region) über einer Middle-of-the-line-Region (MOL-Region) liegt, in welcher die GC-Struktur angeordnet ist.
    • 5A-5D veranschaulichen Layouts von oben von verschiedenen Ausführungsformen der IC von 3A.
    • 6A und 6B veranschaulichen Layouts von oben von verschiedenen Ausführungsformen einer IC, die mehrere GC-Strukturen benachbart zu mehreren AR-Kontakten und mehreren Halbleitervorrichtungen, die als Finnen-Feldeffekttransistoren (finFETs) konfiguriert sind, aufweisen.
    • 7 veranschaulicht eine Querschnittsansicht von einigen Ausführungsformen der IC von 6A.
    • 8 veranschaulicht eine Querschnittsprojektion von einigen Ausführungsformen der IC von 6A.
    • 9-16, 17A, 17B und 18A-18C veranschaulichen eine Reihe an Querschnittsansichten von verschiedenen Ausführungsformen eines Verfahrens zum Bilden einer IC, die eine GC-Struktur aufweist.
    • 19 veranschaulicht ein Blockdiagramm von einigen Ausführungsformen des Verfahrens von 9-16, 17A, 17B und 18A-18C.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale dieser Offenbarung bereit. Es werden nachfolgend spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Zum Beispiel kann das Bilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Element in direktem Kontakt gebildet sind, und auch Ausführungsformen umfassen, in welchen zusätzliche Elemente zwischen dem ersten und dem zweiten Element gebildet sein können, so dass das erste und das zweite Element möglicherweise nicht in direktem Kontakt stehen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumlich bezogene Begriffe, wie etwa „darunterliegend“, „unterhalb“, „unterer“, „oberhalb“, „oberer“ und dergleichen hierin für eine bequemere Beschreibung zum Beschreiben der Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, verwendet werden. Die relativen räumlichen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder mit anderen Ausrichtungen) ausgerichtet sein, und auf ähnliche Weise können die räumlich bezogenen Deskriptoren, die hierin verwendet werden, entsprechend interpretiert werden.
  • In einigen Ausführungsformen weist eine integrierte Schaltung (IC) eine Middle-of-the-line-Region (MOL-Region) auf, in welcher ein Kontakt einer aktiven Region (AR) und ein Gate-Kontakt angeordnet sind. Der AR-Kontakt erstreckt sich von dem Gate-Kontakt zu einer Source-/Drain-Region einer Halbleitervorrichtung. Der Gate-Kontakt liegt über dem AR-Kontakt und einer Gate-Elektrode der Halbleitervorrichtung und berührt diese direkt. In einigen Ausführungsformen umfasst ein Prozess zum Bilden des AR-Kontakts und des Gate-Kontakts Folgendes: 1) derartiges Abscheiden einer Zwischenschichtdielektrikumsschicht (ILD-Schicht (Interlayer Dielectric)), dass sie die Halbleitervorrichtung bedeckt; 2) Durchführen eines ersten Ätzens in die ILD-Schicht, um eine AR-Öffnung zu bilden, die die Source-/Drain-Region freilegt; 3) Durchführen eines zweiten Ätzens in die ILD-Schicht, um eine Gate-Öffnung zu bilden, die sich mit der AR-Öffnung überlappt und die Gate-Elektrode freilegt; 4) derartiges Abscheiden einer Metallschicht, dass sie die AR-Öffnung und die Gate-Öffnung füllt; und 5) Durchführen einer Planarisierung in die Metallschicht und die ILD-Schicht, bis die oberen Flächen davon ungefähr auf einer Höhe liegen. Ein Abschnitt der Metallschicht in der AR-Öffnung definiert den AR-Kontakt, und ein Abschnitt der Metallschicht in der Gate-Öffnung definiert den Gate-Kontakt.
  • Eine Herausforderung bei dem Prozess ist, dass die Source-/Drain-Region in der AR-Öffnung während dem zweiten Ätzen freigelegt werden kann. Dies kann dazu führen, dass sich Oxid in der Source-/Drain-Region während dem zweiten Ätzen bildet. Das Oxid wiederum kann einen Kontaktwiderstand zwischen dem AR-Kontakt und der Source-/Drain-Region erhöhen und somit Betriebsparameter der IC aus dem Wertebereich heraus verschieben und/oder zu einem Ausfall führen.
  • Eine zusätzliche Herausforderung bei dem Prozess ist, dass der zweite Ätzprozess einer großen Anzahl an Beschränkungen bezüglich der Gate-Öffnung unterliegt. Die Gate-Öffnung muss sich mit der AR-Öffnung und der Gate-Elektrode überlappen, während sie ausreichend von anderen Gate-Elektroden und anderen AR-Kontakten beabstandet bleibt. Daher hängt der Prozess von einem hohen Grad an Überlagerungskontrolle und einem hohen Grad an Abmessungskontrolle ab. Das Nichterreichen der hohen Grade an Überlagerungs- und Abmessungskontrolle kann zu einem starken Ableitstrom und/oder einem elektrischen Kurzschluss von dem Gate-Kontakt zu einer anderen Gate-Elektrode und/oder einem anderen AR-Kontakt führen. Ferner kann das Nichterreichen der hohen Grade an Überlagerungs- und Abmessungskontrolle dazu führen, dass sich die Gate-Öffnung nicht mit der AR-Öffnung überlappt, wobei es der Gate-Kontakt und der AR-Kontakt möglicherweise nicht schaffen, sich miteinander elektrisch zu koppeln. Ferner kann das Nichterreichen der hohen Grade an Überlagerungs- und Abmessungskontrolle dazu führen, dass es die Gate-Öffnung nicht schafft, die Gate-Elektrode freizulegen, wobei es der Gate-Kontakt und die Gate-Elektrode möglicherweise nicht schaffen, sich elektrisch miteinander zu koppeln.
  • Verschiedene Ausführungsformen der vorliegenden Anmeldung sind auf einen Via-first-Prozess zum elektrischen Koppeln eines AR-Kontakts und einer Gate-Elektrode sowie eine Gate-zu-Kontakt-Struktur (GC-Struktur), die aus dem Via-first-Prozess resultiert, gerichtet. Gemäß einigen Ausführungsformen des Via-first-Prozesses werden der AR-Kontakt und die Gate-Elektrode bereitgestellt. Die Gate-Elektrode ist Teil einer Halbleitervorrichtung und liegt über einer AR der Halbleitervorrichtung. Der AR-Kontakt erstreckt sich durch eine erste ILD-Schicht, die über der Halbleitervorrichtung liegt, zu einer Source-/Drain-Region der Halbleitervorrichtung oder zu einem anderen Ort in der AR. Es wird eine Ätzstoppschicht (ESL, Etch Stop Layer) derart abgeschieden, dass sie die erste ILD-Schicht bedeckt, und es wird eine zweite ILD-Schicht derart abgeschieden, dass sie die ESL bedeckt. Es wird ein erstes Ätzen in die erste und die zweite ILD-Schicht und die ESL durchgeführt, um eine erste Öffnung zu bilden, die die Gate-Elektrode freilegt. Ein zweites Ätzen wird in die zweite ILD-Schicht durchgeführt und stoppt auf der ESL, um eine zweite Öffnung zu bilden, die über dem AR-Kontakt liegt und sich mit der ersten Öffnung überlappt. Es wird ein drittes Ätzen in die ESL durch die zweite Öffnung durchgeführt, um die zweite Öffnung zu dem AR-Kontakt zu erweitern und eine Unterseite der zweiten Öffnung von dem AR-Kontakt nach unten zu der ersten Öffnung abzuschrägen. Es wird eine Metallschicht derart abgeschieden, dass sie die erste und die zweite Öffnung füllt, und es wird eine Planarisierung in eine obere Fläche der Metallschicht und eine obere Fläche der zweiten ILD-Schicht durchgeführt, bis die oberen Flächen ungefähr auf einer Höhe liegen. Ein Abschnitt der Metallschicht in der ersten Öffnung definiert eine Gate-Durchkontaktierung. Ein Abschnitt der Metallschicht in der zweiten Öffnung definiert einen Gate-Kontakt, der über der Gate-Durchkontaktierung liegt. Die Gate-Durchkontaktierung und der Gate-Kontakt definieren gemeinsam die GC-Struktur.
  • Da der AR-Kontakt vor dem Via-first-Prozess gebildet wird, wird die AR nicht während dem Bilden der GC-Struktur freigelegt und unterliegt somit keiner Oxidation während dem Bilden der GC-Struktur. Da die Unterseite der zweiten Öffnung von dem AR-Kontakt nach unten zu der ersten Öffnung abgeschrägt ist, ist ein Aspektverhältnis (z. B. ein Verhältnis der Höhe zur Breite) der ersten Öffnung gering und wird die Metallschicht mit einer geringeren Gefahr, dass sich Spalte und Lücken in der ersten Öffnung bilden, abgeschieden. Solche Spalte und Lücken würden den Widerstand von dem Gate-Kontakt zu der Gate-Elektrode erhöhen und könnten somit Betriebsparameter aus dem Wertebereich heraus verschieben und/oder den Stromverbrauch erhöhen.
  • Da der Via-first-Prozess den Gate-Kontakt auf der Gate-Durchkontaktierung bildet, können die Überlagerungskontrolle und die Abmessungskontrolle im Vergleich zu einem alternativen Prozess, der den Gate-Kontakt direkt auf der Gate-Elektrode bildet, weniger streng sein. Somit kann ein Prozessfenster (z. B. eine Robustheit) des Via-first-Prozesses im Vergleich zu diesem alternativen Prozess größer sein. Das Landen der Gate-Durchkontaktierung auf der Gate-Elektrode ist robuster als das Landen des Gate-Kontakts direkt auf der Gate-Elektrode, da die Gate-Durchkontaktierung über der Gate-Elektrode zentriert werden kann und kleiner als der Gate-Kontakt sein kann. Das Landen des Gate-Kontakts auf der Gate-Durchkontaktierung ist robuster als das Landen des Gate-Kontakts auf der Gate-Elektrode, da die Gate-Durchkontaktierung den Gate-Kontakt vertikal von anderen Gate-Elektroden beabstandet. Von daher besteht eine geringere Gefahr eines Ableitstroms und/oder einer elektrischen Kopplung mit den anderen Gate-Elektroden. Ferner stellt die erste Öffnung einen Puffer bereit, um die zweite Öffnung seitlich von einem anderen AR-Kontakt auf einer gegenüberliegenden Seite der ersten Öffnung als den AR-Kontakt zu beabstanden. Von daher besteht eine geringere Gefahr eines Ableitstroms und/oder einer elektrischen Kopplung mit dem anderen AR-Kontakt.
  • Unter Bezugnahme auf 1A wird eine Querschnittsansicht 100A von einigen Ausführungsformen einer IC bereitgestellt, die eine GC-Struktur 102 aufweist. Die GC-Struktur 102 koppelt eine Gate-Elektrode 104 elektrisch mit einem AR-Kontakt 106, der benachbart zu der Gate-Elektrode 104 ist. Der AR-Kontakt 106 kann zum Beispiel auch als ein Metall-zu-Oxid-Diffusionskontakt (MD-Kontakt) oder ein Kontakt erster Ebene bekannt sein. Die GC-Struktur 102 und der AR-Kontakt 106 befinden sich in einer MOL-Region 108 der IC. Die MOL-Region 108 stellt ein lokales elektrisches Routing bereit, um den Verbrauch von elektrischen Routingressourcen in einer Back-end-of-line-Region (BEOL-Region) (nicht gezeigt) der IC, die über der MOL-Region 108 liegt, zu verringern.
  • Die GC-Struktur 102 weist einen GC-Anschluss 110 und eine GC-Barriere 112, die eine Unterseite des GC-Anschlusses 110 umschließt, auf. Die GC-Barriere 112 blockt ein Diffundieren von Material von dem GC-Anschluss 110 aus dem GC-Anschluss 110 heraus zu der umgebenden Struktur und/oder blockiert ein Diffundieren von Material von der umgebenden Struktur zu dem GC-Anschluss 110. In einigen Ausführungsformen dient die GC-Barriere 112 auch als eine Adhäsionsschicht für den GC-Anschluss 110. Die GC-Barriere 112 kann zum Beispiel Titan, Titannitrid, Tantalnitrid, Tantal, (ein) andere(s) geeignete(s) Barrierematerial(ien) oder eine beliebige Kombination des Vorherigen sein oder aufweisen. Der GC-Anschluss 110 kann zum Beispiel Kobalt, Ruthenium, Wolfram, (ein) andere(s) geeignete(s) Metall(e) oder eine beliebige Kombination des Vorherigen sein oder aufweisen.
  • In einigen Ausführungsformen ist eine Dicke Tb der GC-Barriere 112 an einer Unterseite der GC-Barriere 112 größer als an Seitenwänden der GC-Barriere 112. Zum Beispiel kann die Dicke Tb ungefähr 3 - 8 Nanometer an der Unterseite und/oder ungefähr 1 - 3 Nanometer an den Seitenwänden betragen. Es sind jedoch andere Dickenwerte an der Unterseite der GC-Barriere 112 und/oder an den Seitenwänden der GC-Barriere 112 möglich. Wenn die Dicke Tb zu gering ist (z. B. geringer als ungefähr 1 Nanometer oder ein anderer geeigneter Wert), ist die GC-Barriere 112 möglicherweise nicht in der Lage, die Materialdiffusion effektiv zu blockieren, und/oder schafft es der GC-Anschluss 110 möglicherweise nicht, sich an die GC-Barriere 112 zu klemmen. Wenn die Dicke Tb zu groß ist (z. B. größer als ungefähr 8 Nanometer oder ein anderer geeigneter Wert), kann ein Widerstand von dem GC-Anschluss 110 zu der Gate-Elektrode 104 hoch sein, da die GC-Barriere 112 einen höheren Widerstand als der GC-Anschluss 110 aufweisen kann. Der hohe Widerstand wiederum kann Betriebsparameter der IC aus dem Wertebereich heraus verschieben und/oder zu einem hohen Stromverbrauch führen.
  • Die GC-Struktur 102 definiert eine Gate-Durchkontaktierung 114 und einen Gate-Kontakt 116. Der Gate-Kontakt 116 liegt über der Gate-Durchkontaktierung 114 und dem AR-Kontakt 106 und erstreckt sich ferner seitlich von der Gate-Durchkontaktierung 114 zu dem AR-Kontakt 106. Der Gate-Kontakt 116 kann zum Beispiel auch als ein Metall-zu-Polysilizium-Kontakt (MP-Kontakt) oder ein Kontakt zweiter Ebene bekannt sein. Es ist jedoch zu beachten, dass die Gate-Elektrode 104 nicht auf Polysilizium beschränkt ist und Metall und/oder (ein) sonstige(s) geeignete(s) Material(ien) sein oder aufweisen kann. Die Gate-Durchkontaktierung 114 erstreckt sich von dem Gate-Kontakt 116 nach unten zu der Gate-Elektrode 104.
  • Eine untere Fläche 102b der GC-Struktur 102 ist von dem AR-Kontakt 106 nach unten zu der Gate-Durchkontaktierung 114 in einem Winkel α bezüglich einer Seitenwand der GC-Struktur 102 abgeschrägt, die sich an der Gate-Durchkontaktierung 114 befindet. Durch Bilden der GC-Struktur 102 mit der Abschrägung weist eine Öffnung, innerhalb welcher die Gate-Durchkontaktierung 114 gebildet ist, ein geringes Aspektverhältnis (z. B. ein geringes Verhältnis der Höhe zur Breite) auf. Wenn sie nicht abgeschrägt wäre, kann die untere Fläche 102b der GC-Struktur 102 im Wesentlichen horizontal sein und kann die Öffnung ein hohes Aspektverhältnis aufweisen. Das hohe Aspektverhältnis kann zu einer Anhäufung von Material um eine obere Ecke in der Öffnung führen, während Schichten abgeschieden werden, aus welchen die GC-Struktur 102 gebildet ist. Die Anhäufung kann eine Oberseite der Öffnung abquetschen, bevor die Öffnung vollständig gefüllt ist, um Spalte oder Lücken zu bilden. Daher wird durch Bilden der GC-Struktur 102 mit der Abschrägung die Wahrscheinlichkeit von Spalten und Lücken an der Gate-Durchkontaktierung 114 verringert. Solche Spalte und Lücken können den Widerstand von dem Gate-Kontakt 116 zu der Gate-Elektrode 104 erhöhen und können somit Betriebsparameter der IC aus dem Wertebereich heraus verschieben und/oder zu einem hohen Stromverbrauch führen.
  • In einigen Ausführungsformen ist der Winkel α ungefähr 30 - 70 Grad, ungefähr 30 - 50 Grad, ungefähr 50 - 70 Grad oder ein anderer geeigneter Wert. Wenn der Winkel α zu klein ist (z. B. kleiner als ungefähr 30 Grad oder ein anderer geeigneter Wert), kann das Aspektverhältnis der Gate-Durchkontaktierung 114 hoch sein. Von daher kann die Wahrscheinlichkeit von Spalten und Lücken an der Gate-Durchkontaktierung 114 hoch sein. Wenn der Winkel α zu groß ist (z. B. größer als ungefähr 70 Grad oder ein anderer geeigneter Wert), kann der Gate-Kontakt 116 zu nahe an das Substrat 118 geraten. Dies wiederum kann die Wahrscheinlichkeit eines Ableitstroms und/oder eines elektrischen Kurzschlusses von dem Gate-Kontakt 116 zu der benachbarten Struktur (z. B. eine benachbarte Gate-Elektrode) erhöhen.
  • In Ausführungsformen hängen der Winkel α und das Aspektverhältnis der Gate-Durchkontaktierung 114 von einem Raum S zwischen der Gate-Durchkontaktierung 114 und dem AR-Kontakt 106 ab. Zum Beispiel können der Winkel α und das Aspektverhältnis mit kleiner werdendem Raum S größer werden. In einigen Ausführungsformen beträgt die Größe des Raums S ungefähr 9 - 18 Nanometer, ungefähr 9,0 - 13,5 Nanometer, ungefähr 13,5 - 18,0 Nanometer oder einen anderen geeigneten Wert. Wenn der Raum S zu klein ist (z. B. kleiner als ungefähr 9 Nanometer oder ein anderer geeigneter Wert), kann der Winkel α groß sein und kann das Aspektverhältnis der Gate-Durchkontaktierung 114 groß sein. Von daher kann die Wahrscheinlichkeit von Spalten und Lücken an der Gate-Durchkontaktierung 114 hoch sein. Bei entstehenden und künftigen Prozessknoten kann der Raum S zum Beispiel durch die Merkmalsdichte begrenzt werden, so dass der Raum S zum Beispiel kleiner als ungefähr 18 Nanometer oder ein anderer geeigneter Wert sein kann. In einigen Ausführungsformen weist der Raum S eine Größe von ungefähr 9 - 18 Nanometer auf und ist der Winkel α ungefähr 45 - 60 Grad. Es sind jedoch andere Werte für den Raum S und den Winkel α möglich.
  • In einigen Ausführungsformen weist die Gate-Durchkontaktierung 114 eine Breite Wgv von ungefähr 8-25 Nanometer, ungefähr 8,0 - 16,5 Nanometer, ungefähr 16,5 - 25,0 Nanometer oder einem anderen geeigneten Wert auf. Wenn die Breite Wgv zu gering ist (z. B. geringer als ungefähr 8 Nanometer oder ein anderer geeigneter Wert), kann die Gate-Durchkontaktierung 114 ein hohes Aspektverhältnis aufweisen und somit anfällig für Spalte und Lücken sein. Zusätzlich kann das Bilden der Gate-Durchkontaktierung 114 aufgrund der geringen Größe eine Herausforderung darstellen. Wenn die Breite Wgv zu groß ist (z. B. größer als ungefähr 25 Nanometer oder ein anderer geeigneter Wert), besteht eine große Gefahr, dass die Gate-Durchkontaktierung 114 zu nahe an einen benachbarten AR-Kontakt (nicht gezeigt) geraten kann. Dies wiederum kann zu einem Ableitstrom und/oder einem elektrischen Koppeln mit dem benachbarten AR-Kontakt führen.
  • Die Gate-Elektrode 104 ist über einem Substrat 118 durch eine Gate-Dielektrikumsschicht 120 beabstandet und grenzt an eine Source-/Drain-Region 122. Das Substrat 118 kann zum Beispiel ein Bulk-Siliziumsubstrat, ein Silizium-auf-Isolator-Substrat (SOI-Substrat) oder ein anderes geeignetes Halbleitersubstrat sein. Die Gate-Dielektrikumsschicht 120 kann zum Beispiel Siliziumoxid, ein high-k-Dielektrikum, (ein) andere(s) geeignete(s) dielektrische(s) Material(ien) oder eine beliebige Kombination des Vorherigen sein oder aufweisen. Die Gate-Elektrode 104 kann zum Beispiel dotiertes Polysilizium, Metall, (ein) andere(s) geeignete(s) leitfähige(s) Material(ien) oder eine beliebige Kombination des Vorherigen sein oder aufweisen.
  • Die Source-/Drain-Region 122 befindet sich an einem entgegengesetzten Ende einer Kanalregion 118c als eine andere Source-/Drain-Region (nicht gezeigt). Die Kanalregion 118c liegt unter der Gate-Elektrode 104 in dem Substrat 118 und ist derart konfiguriert, dass sie je nach einer Vorspannung, die an der Gate-Elektrode 104 angelegt wird, zwischen einem leitfähigen Zustand und einem nichtleitfähigen Zustand wechselt. Die Source-/Drain-Region 122 kann zum Beispiel eine dotierte Halbleiterregion des Substrats 118 und/oder eine epitaxiale Schicht, die auf dem Substrat 118 gezüchtet ist, sein oder aufweisen. In einigen Ausführungsformen ist das Substrat 118 monokristallines Silizium und ist die Source-/Drain-Region 122 Siliziumgermanium oder Siliziumcarbid. Es sind jedoch andere Materialien möglich. Die Source-/Drain-Region 122, die Kanalregion 118c, die Gate-Elektrode 104 und die Gate-Dielektrikumsschicht 120 definieren teilweise eine Halbleitervorrichtung 124. Die Halbleitervorrichtung 124 kann zum Beispiel ein Feldeffekttransistor (FET), ein anderer geeigneter Transistor, eine Speicherzelle oder eine andere geeignete Halbleitervorrichtung sein.
  • Der AR-Kontakt 106 erstreckt sich von der GC-Struktur 102 zu der Source-/Drain-Region 122, und der AR-Kontakt 106 und die GC-Struktur 102 definieren gemeinsam eine leitfähige Verbundstruktur, die die Gate-Elektrode 104 elektrisch mit der Source-/Drain-Region 122 koppelt. In alternativen Ausführungsformen erstreckt sich der AR-Kontakt 106 zu einer Körperkontaktregion (nicht gezeigt) der Halbleitervorrichtung 124 oder zu einem anderen Ort in einer aktiven Region 126 der Halbleitervorrichtung 124. Die aktive Region 126 ist eine Halbleiterregion, auf welcher die Halbleitervorrichtung 124 gebildet ist, und ist mindestens teilweise durch die Source-/Drain-Region 122 und einen oberen Abschnitt des Substrats 118 definiert, der unter der Gate-Elektrode 104 liegt. In einigen Ausführungsformen umfasst die aktive Region 126 ferner die Körperkontaktregion. In einigen Ausführungsformen ist die aktive Region 126 durch eine Flachgrabenisolationsstruktur (STI-Struktur (Shallow Trench Isolation)) (nicht gezeigt) und/oder eine andere geeignete Grabenisolationsstruktur abgegrenzt. Die aktive Region 126 kann zum Beispiel auch als eine Oxiddiffusionsregion (OD-Region) und/oder eine Vorrichtungsregion bekannt sein. Der AR-Kontakt 106 weist einen AR-Anschluss 128 und eine AR-Barriere 130, die eine Unterseite des AR-Anschlusses 128 umschließt, auf.
  • Die AR-Barriere 130 blockt ein Diffundieren von Material von dem AR-Anschluss 128 aus dem AR-Anschluss 128 heraus zu der umgebenden Struktur und/oder blockiert ein Diffundieren von Material von der umgebenden Struktur zu dem AR-Anschluss 128. In einigen Ausführungsformen dient die AR-Barriere 130 auch als eine Adhäsionsschicht für den AR-Anschluss 128. Die AR-Barriere 130 kann zum Beispiel Titan, Titannitrid, Tantalnitrid, (ein) andere(s) geeignete(s) Barrierematerial(ien) oder eine beliebige Kombination des Vorherigen sein oder aufweisen. Der AR-Anschluss 128 kann zum Beispiel Kobalt, Ruthenium, Wolfram, (ein) andere(s) geeignete(s) Metall(e) oder eine beliebige Kombination des Vorherigen sein oder aufweisen. In einigen Ausführungsformen sind oder weisen der AR-Anschluss 128 und der GC-Anschluss 110 dasselbe Material auf und/oder definieren einen Verbundanschluss. In einigen Ausführungsformen sind oder weisen die AR-Barriere 130 und die GC-Barriere 112 dasselbe Material auf und/oder definieren eine Verbundbarriereschicht, die sich in einer geschlossenen Strecke um den AR-Anschluss 128 herum erstreckt.
  • Ein Paar Zwischenschichtdielektrikumsschichten (ILD-Schichten) 132 und eine Ätzstoppschicht 134 sind über dem Substrat 118 gestapelt und nehmen den AR-Kontakt 106 und die GC-Struktur 102 auf. Die Ätzstoppschicht 134 trennt die ILD-Schichten 132 voneinander und liegt ungefähr auf einer Höhe mit einer Unterseite des Gate-Kontakts 116. Die ILD-Schichten 132 können zum Beispiel Siliziumoxid, Siliziumnitrid, ein low-k-Dielektrikum, (ein) andere(s) geeignete(s) Dielektrikum/Dielektrika oder eine beliebige Kombination des Vorherigen sein oder aufweisen. Die Ätzstoppschicht 134 kann zum Beispiel Siliziumnitrid, Siliziumcarbid, (ein) andere(s) geeignete(s) Dielektrikum/Dielektrika oder eine beliebige Kombination des Vorherigen sein oder aufweisen.
  • Wie im Folgenden hierin zu sehen ist, stellt die Ätzstoppschicht 134 eine Kontrolle über die vertikale Trennung von dem Gate-Kontakt 116 zu der Gate-Elektrode 104 und auch zu benachbarten Gate-Elektroden (nicht gezeigt) bereit. Wie im Folgenden hierin zu sehen ist, ist die GC-Struktur 102 ferner nach dem AR-Kontakt 106 gebildet. Von daher wird die Öffnung, innerhalb welcher der AR-Kontakt 106 gebildet ist, während dem Bilden der GC-Struktur 102 gefüllt und wird die Source-/Drain-Region 122 nicht durch die Öffnung während dem Bilden der GC-Struktur 102 freigelegt. Dies wiederum verringert die Wahrscheinlichkeit, dass sich Oxid in der Source-/Drain-Region 122 bildet und der Widerstand von dem AR-Kontakt 106 zu der Source-/Drain-Region 122 erhöht. Solch ein erhöhter Widerstand könnte Betriebsparameter der IC aus dem Wertebereich heraus verschieben, Erträge verringern, den Stromverbrauch erhöhen und so weiter.
  • Wie im Folgenden hierin zu sehen ist, weist ferner das Bilden des Gate-Kontakts 116, der über der Gate-Elektrode 104 beabstandet ist und durch die Gate-Durchkontaktierung 114 elektrisch mit dieser gekoppelt ist, ein größeres Prozessfenster auf als das Weglassen der Gate-Durchkontaktierung 114 und Bilden des Gate-Kontakts 116 direkt auf der Gate-Elektrode 104 (ist z. B. robuster). Das Bilden des Gate-Kontakts 116 direkt auf der Gate-Elektrode 104 umfasst das Landen des Gate-Kontakts 116 sowohl auf der Gate-Elektrode 104 als auch dem AR-Kontakt 106, während er ausreichend von der benachbarten Struktur beabstandet bleibt, um einen Ableitstrom und/oder eine elektrische Kopplung zu vermeiden. Solch eine benachbarte Struktur kann zum Beispiel benachbarte Gate-Elektroden (nicht gezeigt) und benachbarte AR-Kontakte (nicht gezeigt) aufweisen. Von daher unterliegt das Landen des Gate-Kontakts 116 direkt auf der Gate-Elektrode 104 einer großen Anzahl an Überlagerungs- und/oder Abmessungsbeschränkungen. Ferner sind aufgrund der großen Größe des Gate-Kontakts 116 die Beschränkungen ziemlich strikt, um einen Ableitstrom und/oder eine elektrische Kopplung mit der benachbarten Struktur zu vermeiden.
  • Dagegen umfasst das Bilden des Gate-Kontakts 116, der über der Gate-Elektrode 104 beabstandet ist und durch die Gate-Durchkontaktierung 114 elektrisch mit dieser gekoppelt ist, das Landen einer Gate-Durchkontaktierung 114 auf der Gate-Elektrode 104 und das Landen des Gate-Kontakts 116 auf der Gate-Durchkontaktierung 114. Das Landen der Gate-Durchkontaktierung 114 auf der Gate-Elektrode 104 unterliegt weniger Beschränkungen als das Landen des Gate-Kontakts 116 auf der Gate-Elektrode 104. Die Gate-Durchkontaktierung 114 landet nicht auf dem AR-Kontakt 106, so dass die Gate-Durchkontaktierung 114 über der Gate-Elektrode 104 zentriert werden kann. Die Gate-Durchkontaktierung 114 ist ferner kleiner als der Gate-Kontakt 116, so dass eine geringere Gefahr, dass die Gate-Durchkontaktierung 114 zu nahe an die benachbarte Struktur gerät und einen Ableitstrom und/oder eine elektrische Kopplung hervorruft, besteht. Von daher können die Überlagerungs- und/oder Abmessungsbeschränkungen weniger strikt sein.
  • Das Landen des Gate-Kontakts 116 auf der Gate-Durchkontaktierung 114 unterliegt auch weniger strikten Überlagerungs- und/oder Abmessungsbeschränkungen als das Landen des Gate-Kontakts 116 auf der Gate-Elektrode 104. Der Gate-Kontakt 116 ist vertikal von der Gate-Elektrode 104 und benachbarten Gate-Elektroden (nicht gezeigt) verschoben, so dass eine geringere Gefahr eines Ableitstroms und/oder einer elektrischen Kopplung von dem Gate-Kontakt 116 zu den benachbarten Gate-Elektroden (nicht gezeigt) besteht. Ferner stellt eine Durchkontaktierungsöffnung, innerhalb welcher die Gate-Durchkontaktierung 114 gebildet ist, einen Puffer seitlich zwischen einem benachbarten AR-Kontakt (nicht gezeigt) und einer Kontaktöffnung, innerhalb welcher der Gate-Kontakt 116 gebildet ist, bereit. Der Puffer verringert die Wahrscheinlichkeit, dass die Kontaktöffnung, und somit der Gate-Kontakt 116, zu nahe an den benachbarten AR-Kontakt gerät. Dies wiederum verringert die Wahrscheinlichkeit eines Ableitstroms und/oder einer elektrischen Kopplung von dem Gate-Kontakt 116 zu dem benachbarten AR-Kontakt.
  • Unter Bezugnahme auf 1B wird eine Querschnittsansicht 100B von einigen alternativen Ausführungsformen der IC von 1A bereitgestellt, in welcher die GC-Barriere 112 weggelassen ist. Von daher sind die GC-Struktur 102 und der GC-Anschluss 110 ein und dasselbe. Da die GC-Barriere 112 zum Beispiel einen höheren Widerstand als der GC-Anschluss 110 aufweisen kann, kann das Weglassen der GC-Barriere 112 einen Widerstand von dem Gate-Kontakt 116 zu der Gate-Elektrode 104 verringern. Dies kann den Stromverbrauch verringern und/oder die Leistungsfähigkeit der Halbleitervorrichtung 124 verbessern. Die GC-Struktur 102 und der GC-Anschluss 110 können zum Beispiel Wolfram, Ruthenium, Kobalt, (ein) andere(s) geeignete(s) leitfähige(s) Material(ien) oder eine beliebige Kombination des Vorherigen sein oder aufweisen. In einigen Ausführungsformen ist oder besteht die GC-Struktur 102 im Wesentlichen aus einem einzigen Material durch die gesamte GC-Struktur 102. In einigen Ausführungsformen sind der GC-Anschluss 110 und der AR-Anschluss 128 dasselbe Material. In alternativen Ausführungsformen sind der GC-Anschluss 110 und der AR-Anschluss 128 verschiedene Materialien.
  • Unter Bezugnahme auf 1C wird eine Querschnittsansicht 100C von einigen alternativen Ausführungsformen der IC von 1A bereitgestellt, in welcher sich die Gate-Durchkontaktierung 114 und der Gate-Kontakt 116 (gemeinsam die GC-Struktur 102) einen Anschluss 136 und eine Barriere 138 mit dem AR-Kontakt 106 teilen. Der Anschluss 136 kann zum Beispiel so sein, wie der GC-Anschluss 110 von 1A und/oder der AR-Anschluss 128 von 1A beschrieben ist/sind. Ferner kann der Anschluss 136 zum Beispiel Kobalt, Ruthenium, Wolfram, (ein) andere(s) geeignete(s) Metall(e) oder eine beliebige Kombination des Vorherigen sein oder aufweisen. Die Barriere 138 kann zum Beispiel so sein, wie die GC-Barriere 112 von 1A und/oder die AR-Barriere 130 von 1A beschrieben ist/sind. Ferner kann die Barriere 138 zum Beispiel Titan, Titannitrid, Tantalnitrid, Tantal, (ein) andere(s) geeignete(s) Barrierematerial(ien) oder eine beliebige Kombination des Vorherigen sein oder aufweisen.
  • Unter Bezugnahme auf 1D wird eine Querschnittsansicht 100D von einigen alternativen Ausführungsformen der IC von 1A bereitgestellt, in welcher die Barriere 138 weggelassen ist. Wie unter Bezugnahme auf 1B erörtert wird, kann das Weglassen der Barriere 138 den Widerstand von dem Gate-Kontakt 116 zu der Gate-Elektrode 104 verringern.
  • Unter Bezugnahme auf 2A wird eine Querschnittsansicht 200A von einigen Ausführungsformen der IC von 1A bereitgestellt, in welcher die Halbleitervorrichtung 124 ein planarer FET ist. Die Querschnittsansicht 200A von 2A ist orthogonal zu der Querschnittsansicht 100A von 1A, und die Querschnittsansicht 100A von 1A kann zum Beispiel entlang der Linie A-A' in 2A sein. Somit erstreckt sich die Querschnittsansicht 100A von 1A in die Seite hinein und aus dieser heraus.
  • Die Gate-Elektrode 104 und die Gate-Dielektrikumsschicht 120 weisen im Wesentlichen ebene Profile auf und liegen teilweise über einer Grabenisolationsstruktur 202. Die Grabenisolationsstruktur 202 weist jeweils ein Paar Segmente auf gegenüberliegenden Seiten der Kanalregion 118c auf und grenzt die aktive Region 126 der Halbleitervorrichtung 124 ab. Die Grabenisolationsstruktur 202 kann zum Beispiel Siliziumoxid und/oder (ein) andere(s) geeignete(s) dielektrische(s) Material(ien) sein oder aufweisen. Ferner kann die Grabenisolationsstruktur 202 zum Beispiel eine STI-Struktur oder eine andere geeignete Grabenisolationsstruktur sein oder umfassen.
  • Unter Bezugnahme auf 2B wird eine Querschnittsansicht 200B von einigen alternativen Ausführungsformen der IC von 2A bereitgestellt, in welcher die Halbleitervorrichtung 124 ein FinFET (finFET) ist. Ähnlich wie 2A, kann die Querschnittsansicht 100A von 1A zum Beispiel entlang der Linie A-A' in 2B sein. Die Gate-Elektrode 104 und die Gate-Dielektrikumsschicht 120 wickeln sich um eine Oberseite einer Finne 118f des Substrats 118 herum. Die Finne 118f steht nach oben vor und weist eine obere Fläche auf, die sich über eine obere Fläche der Grabenisolationsstruktur 202 erhebt. Ferner definiert die Finne 118f mindestens teilweise die aktive Region 126 der Halbleitervorrichtung 124.
  • Wenngleich die Halbleitervorrichtung 124 jeweils als ein planarer FET und ein finFET in 2A und 2B veranschaulicht ist, kann die Halbleitervorrichtung 124 eine andere geeignete Art von Transistor oder Halbleitervorrichtung sein. Somit ist die GC-Struktur 102 nicht auf die Verwendung mit den Ausführungsformen der Halbleitervorrichtung 124 beschränkt, die in 2A und 2B veranschaulicht ist. Zum Beispiel kann die Halbleitervorrichtung 124 ein Gate-all-around-FET (GAA FET) oder ein anderer geeigneter Transistor sein. Der GAA FET kann zum Beispiel runde/quadratische Nanodrähte, horizontale Nanoplatten, horizontale Nanoschichten, hexagonale Nanodrähte, Nanoringe oder andere geeignete Nanostrukturen für einzelne Kanalregionen einsetzen. Zusätzlich können, wenngleich 2A und 2B unter Bezugnahme auf 1A beschrieben sind, die Lehren in 2A und 2B bei einer beliebigen von 1B-1D angewendet werden. Zum Beispiel kann 1C alternativ entlang der Linie A-A' in 2A und 2B vorhanden sein. Als weiteres Beispiel kann die GC-Barriere 112 in alternativen Ausführungsformen von 2A und 2B weggelassen sein und kann eine der 1B und 1D entlang der Linie A-A' in diesen alternativen Ausführungsformen vorhanden sein.
  • Unter Bezugnahme auf 3A wird eine Querschnittsansicht 300A von einigen alternativen Ausführungsformen der IC von 1A bereitgestellt, in welcher die GC-Struktur 102 benachbart zu mehreren Halbleitervorrichtungen 124 und mehreren AR-Kontakten 106 ist. Die Halbleitervorrichtungen 124 und die AR-Kontakte 106 sind so, wie ihre Gegenstücke in 1A beschrieben sind. Zum Beispiel weisen die Halbleitervorrichtungen 124 jeweils eine Gate-Elektrode 104, eine Gate-Dielektrikumsschicht 120 usw. auf. Als weiteres Beispiel weisen die AR-Kontakte 106 jeweils einen AR-Anschluss 128 und eine AR-Barriere 130 auf. Ferner teilen sich die Halbleitervorrichtungen 124 die Source-/Drain-Regionen 122. In alternativen Ausführungsformen weist mindestens eine der Halbleitervorrichtungen 124 eine einzelne Source-/Drain-Region auf.
  • Die GC-Struktur 102 liegt über einer ersten Gate-Elektrode 104a und ist um eine Distanz D1 von einer zweiten Gate-Elektrode 104b getrennt. Da sich der Gate-Kontakt 116 über die zweite Gate-Elektrode 104b durch die Gate-Durchkontaktierung 114 erhebt, ist die Distanz D1 größer als sie es sein würde, wenn sich der Gate-Kontakt 116 direkt auf der ersten Gate-Elektrode 104a befinden würde. Von daher ist die Wahrscheinlichkeit eines Ableitstroms und/oder einer elektrischen Kopplung von dem Gate-Kontakt 116 zu der zweiten Gate-Elektrode 104b gering.
  • In einigen Ausführungsformen beträgt die Distanz D1 ungefähr 5 - 25 Nanometer, ungefähr 5 - 15 Nanometer, ungefähr 15 - 25 Nanometer, ungefähr 10 - 25 Nanometer, mehr als ungefähr 10 Nanometer oder einen anderen geeigneten Wert. Wenn die Distanz D1 zu klein ist (z. B. kleiner als ungefähr 5 Nanometer oder ein anderer geeigneter Wert), kann der Ableitstrom von dem Gate-Kontakt 116 zu der zweiten Gate-Elektrode 104b stark sein und/oder kann die Wahrscheinlichkeit einer elektrischen Kopplung von dem Gate-Kontakt 116 zu der zweiten Gate-Elektrode 104b hoch sein. Wenn die Distanz D1 zu groß ist (z. B. größer als ungefähr 25 Nanometer oder ein anderer geeigneter Wert), kann ein Aspektverhältnis der Gate-Durchkontaktierung 114 hoch sein. Wie zuvor unter Bezugnahme auf 1A erörtert wurde, erhöht ein hohes Aspektverhältnis an der Gate-Durchkontaktierung 114 die Wahrscheinlichkeit, dass sich Spalte oder Lücken an der Gate-Durchkontaktierung 114 bilden. Solche Spalte und Lücken würden den Widerstand von dem Gate-Kontakt 116 zu der ersten Gate-Elektrode 104a erhöhen und könnten Betriebsparameter der IC aus dem Wertebereich heraus verschieben und/oder den Stromverbrauch erhöhen.
  • Weiterhin unter Bezugnahme auf 3A befinden sich innere Seitenwandabstandshalter 302 auf Seitenwänden der Gate-Elektroden 104 und befinden sich äußere Seitenwandabstandshalter 304 auf Seitenwänden der inneren Seitenwandabstandshalter 302. Ferner trennen Silizidschichten 306 die AR-Kontakte 106 von den Source-/Drain-Regionen 122 und stehen Source-/Drain-Erweiterungen 122e von den Source-/Drain-Regionen 122 nach außen vor. In alternativen Ausführungsformen sind die Source-/Drain-Erweiterungen 122e weggelassen. Die Source-/Drain-Erweiterungen 122e liegen unter den äußeren Seitenwandabstandshaltern 304 und können zum Beispiel eine geringere Dotierkonzentration als ein Rest der Source-/Drain-Regionen 122 aufweisen. Die inneren und die äußeren Seitenwandabstandshalter 302, 304 können zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxynitrid, (ein) andere(s) geeignete(s) Dielektrikum/Dielektrika oder eine beliebige Kombination des Vorherigen sein oder aufweisen. In einigen Ausführungsformen sind die inneren und die äußeren Seitenwandabstandshalter 302, 304 verschiedene Materialien. In anderen Ausführungsformen sind die inneren und die äußeren Seitenwandabstandshalter 302, 304 dasselbe Material.
  • Unter Bezugnahme auf 3B wird eine Querschnittsansicht 300B von einigen alternativen Ausführungsformen der IC von 3A bereitgestellt, in welcher die GC-Struktur 102 wie in 1B konfiguriert ist und die GC-Barriere 112 weggelassen ist. In noch weiteren alternativen Ausführungsformen der IC von 3A ist/sind die GC-Struktur 102 und/oder die AR-Kontakte 106 wie in einer der 1C und 1D.
  • Unter Bezugnahme auf 4A wird eine Querschnittsansicht 400A von einigen Ausführungsformen der IC von 3A bereitgestellt, in welcher eine BEOL-Region 402 über der MOL-Region 108 liegt und elektrisch mit dieser gekoppelt ist. Die BEOL-Region 402 ist teilweise gezeigt und weist mehrere Interconnect-Drähte 404 und mehrere Interconnect-Durchkontaktierungen 406 in mehreren zusätzlichen ILD-Schichten 408 und mehreren zusätzlichen Ätzstoppschichten 410 auf.
  • Die mehreren Interconnect-Drähte 404 sind in mehrere Drahtebenen oberhalb des Substrats 118 gruppiert, und die mehreren Interconnect-Durchkontaktierungen 406 sind in mehrere Durchkontaktierungsebenen oberhalb des Substrats 118 gruppiert. Die mehreren Drahtebenen und die mehreren Durchkontaktierungsebenen werden abwechselnd gestapelt, um leitfähige Strecken zu definieren, die von der MOL-Region 108 ausgehen. Ferner umfassen die mehreren Drahtebenen eine erste Drahtebene M1 und eine zweite Drahtebene M2 und umfassen die mehreren Durchkontaktierungsebenen eine nullte Durchkontaktierungsebene V0 und eine erste Durchkontaktierungsebene V1. In einigen Ausführungsformen liegen eine oder mehrere zusätzliche Drahtebene(n) (nicht gezeigt) und/oder eine oder mehrere zusätzliche Durchkontaktierungsebene(n) über der zweiten Drahtebene M2 und sind elektrisch mit dieser gekoppelt.
  • Unter Bezugnahme auf 4B wird eine Querschnittsansicht 400B von einigen alternativen Ausführungsformen der IC von 4A bereitgestellt, in welcher die GC-Struktur 102 elektrisch von der BEOL-Region 402 isoliert ist. Mit anderen Worten ist die GC-Struktur 102 vollständig von den Interconnect-Durchkontaktierungen in der nullten Durchkontaktierungsebene V0 beabstandet. In einigen Ausführungsformen berührt eine obere Fläche der GC-Struktur 102 direkt eine entsprechende der zusätzlichen Ätzstoppschichten 410 über die gesamte obere Fläche.
  • Die Ausführungsformen von 4B können zum Beispiel auftreten, wenn die GC-Struktur 102 für statischen Direktzugriffsspeicher (SRAM, Static Random-Access Memory) oder dergleichen verwendet wird, während die Ausführungsformen von 4A zum Beispiel auftreten können, wenn die GC-Struktur 102 für Logik oder dergleichen verwendet wird. Ferner können die GC-Struktur 102 und/oder die AR-Kontakte 106 zum Beispiel so sein wie in einer der 1B-1D in alternativen Ausführungsformen von 4A und 4B.
  • Unter Bezugnahme auf 5A wird ein Layout 500A von oben von einigen Ausführungsformen der IC von 3A bereitgestellt. Die IC von 3A kann zum Beispiel entlang der Linie B-B' in 5A vorhanden sein. Die GC-Struktur 102 weist abgerundete Kanten auf und ist, wie hier nachstehend beschrieben wird, aus der Überlagerung zwischen einer Kontaktstruktur 502 und einer Durchkontaktierungsstruktur 504 gebildet. Die Kontaktstruktur 502 wird verwendet, um den Gate-Kontakt 116 zu bilden, und ist entlang der Linie B-B' verlängert. Die Durchkontaktierungsstruktur 504 wird verwendet, um die Gate-Durchkontaktierung 114 zu bilden, und ist kreisförmig. Es sind jedoch andere Formen für die Kontaktstruktur 502 und/oder die Durchkontaktierungsstruktur 504 möglich. Die Kontaktstruktur 502 und die Durchkontaktierungsstruktur 504 überlappen sich um eine Distanz D2 und die Kontaktstruktur 502 ist von einem ersten AR-Kontakt 106a um eine Distanz D3 getrennt.
  • In einigen Ausführungsformen beträgt die Distanz D2 ungefähr 5 - 25 Nanometer, ungefähr 5 - 15 Nanometer, ungefähr 15 - 25 Nanometer, ungefähr 3-25 Nanometer, mehr als ungefähr 3 Nanometer oder einen anderen geeigneten Wert. Wenn die Distanz D2 zu gering ist (z. B. geringer als ungefähr 3 Nanometer oder ein anderer geeigneter Wert), kann die elektrische Kopplung zwischen dem Gate-Kontakt 116 und der Gate-Durchkontaktierung 114 schlecht sein und/oder anderweitig ausfallen. Solch eine schlechte elektrische Kopplung kann zum Beispiel die Menge an Strom begrenzen, der von dem Gate-Kontakt 116 zu der Gate-Durchkontaktierung 114 übergehen kann, und/oder Betriebsparameter der IC aus dem Wertebereich heraus verschieben. Wenn die Distanz D2 zu groß ist (z. B. größer als ungefähr 25 Nanometer oder ein anderer geeigneter Wert), kann sich die Kontaktstruktur 502 über die Durchkontaktierungsstruktur 504 hinaus erstrecken und kann die Distanz D3 zu gering sein (siehe nachstehend).
  • In einigen Ausführungsformen beträgt die Distanz D3 ungefähr 5-25 Nanometer, ungefähr 5 - 15 Nanometer, ungefähr 15 - 25 Nanometer, ungefähr 3-25 Nanometer, mehr als ungefähr 3 Nanometer oder einen anderen geeigneten Wert. Wenn die Distanz D3 zu gering ist (z. B. geringer als ungefähr 3 Nanometer oder ein anderer geeigneter Wert), kann der Ableitstrom zwischen dem Gate-Kontakt 116 und dem ersten AR-Kontakt 106a stark sein und/oder können der Gate-Kontakt 116 und der erste AR-Kontakt 106a elektrisch gekoppelt sein. Wenn die Distanz D3 zu groß ist (z. B. größer als ungefähr 25 Nanometer oder ein anderer geeigneter Wert), kann Vorrichtungsskalierung unnötigerweise behindert werden und/oder kann die Distanz D2 zu gering sein.
  • Aufgrund der Gate-Durchkontaktierung 114 wird die Gefahr, dass die Distanz D2 und die Distanz D3 zu gering und/oder zu groß sind, verringert. Während dem Bilden der GC-Struktur 102 wird zunächst eine Durchkontaktierungsöffnung mit der Durchkontaktierungsstruktur 504 gebildet und wird dann eine Kontaktöffnung mit der Kontaktstruktur 502 gebildet, die sich mit der Durchkontaktierungsöffnung überlappt. Somit stellt die Durchkontaktierungsstruktur 504 einen Puffer seitlich zwischen der Kontaktstruktur 502 und dem ersten AR-Kontakt 106a bereit. Der Puffer verringert die Wahrscheinlichkeit, dass die Kontaktstruktur 502 zu nahe an den ersten AR-Kontakt 106a gerät (siehe z. B. die Distanz D3). Von daher wird die Wahrscheinlichkeit eines Ableitstroms und/oder eines elektrischen Kurzschlusses verringert. Ferner ermöglicht der Puffer, dass die Kontaktstruktur 502 näher an den ersten AR-Kontakt 106a gerät, als ansonsten möglich wäre. Von daher ist die Überlagerung zwischen der Kontaktstruktur 502 und der Durchkontaktierungsstruktur 504 (siehe z. B. die Distanz D2) robust (weist z. B. ein großes Überlagerungsfenster auf).
  • Unter Bezugnahme auf 5B und 5C werden obere Layouts 500B und 500C von einigen alternativen Ausführungsformen der IC von 5A bereitgestellt, in welchen die Kanten der GC-Struktur 102 im Wesentlichen rechtwinklig sind. Ferner sind die Kontaktstruktur 502 und die Durchkontaktierungsstruktur 504 rechteckig oder quadratisch. Wie zwischen den Layouts von oben 500B und 500C von 5B und 5C sind die Breiten der AR-Kontakte 106 geringer als die Breiten der Gate-Elektroden 104 in 5B und größer als die Breiten der Gate-Elektroden 104 in 5C.
  • Unter Bezugnahme auf 5D wird ein Layout 500D von oben von einigen alternativen Ausführungsformen der IC von 5A bereitgestellt, in welchem die GC-Struktur 102 in einem Winkel Φ vorliegt. Der Winkel Φ bezieht sich auf eine Bezugsachse 506, welche senkrecht zu einer Richtung ist, entlang welcher die Gate-Elektroden 104 und die AR-Kontakte 106 verlängert sind. Der Winkel Φ kann zum Beispiel kleiner als ungefähr 30 Grad, kleiner als ungefähr 20 Grad, kleiner als ungefähr 10 Grad oder ein anderer Winkel sein.
  • In einigen Ausführungsformen kann sich die Kontaktstruktur 502 durch Ausrichten der GC-Struktur 102 in dem Winkel Φ um eine größere Distanz über den zweiten AR-Kontakt 106b entlang ihrer zentralen Achse 508 erstrecken, ohne zu nahe an die zweite Gate-Elektrode 104 zu geraten. Zum Beispiel kann eine Distanz DΦ entlang der zentralen Achse 508 einer Distanz D0 entlang der Bezugsachse 506 entsprechen, die durch COS(Φ) geteilt wird (z. B. DΦ = D0 / COS(Φ)), so dass DΦ größer als D0 sein kann. Da sich die Kontaktstruktur 502 um eine größere Distanz über den zweiten AR-Kontakt 106b erstrecken kann, kann die Wahrscheinlichkeit des Landens der Kontaktstruktur 502 und somit des Gate-Kontakts 116 auf dem zweiten AR-Kontakt 106b erhöht werden. In einigen Ausführungsformen kann durch Ausrichten der GC-Struktur 102 in dem Winkel Φ die Wahrscheinlichkeit des Landens der Kontaktstruktur 502 und somit des Gate-Kontakts 116 auf der Gate-Durchkontaktierung 114 erhöht werden. Dies kann zum Beispiel auf dieselben Gründe zurückzuführen sein, die zuvor beschrieben wurden.
  • Ungeachtet der spezifischen Formen, die für die GC-Struktur 102, die Kontaktstruktur 502 und die Durchkontaktierungsstruktur 504 in 5A-5D veranschaulicht sind, sind in alternativen Ausführungsformen andere Formen geeignet. Ferner können die GC-Struktur 102 und/oder die AR-Kontakte 106 zum Beispiel so sein, wie in einer der 1B-1D in alternativen Ausführungsformen von 5A-5D. Zum Beispiel kann die GC-Barriere 112 in alternativen Ausführungsformen von 5A-5D weggelassen sein.
  • Unter Bezugnahme auf 6A wird ein Layout 600A von oben von einigen Ausführungsformen einer IC, die mehrere GC-Strukturen 102 aufweist, bereitgestellt, in welchem sich die GC-Strukturen 102 auf mehreren AR-Kontakten 106 und mehreren Halbleitervorrichtungen 124, die als finFETs konfiguriert sind, befinden. Die GC-Strukturen 102, die AR-Kontakte 106 und die Halbleitervorrichtungen 124 können zum Beispiel so sein, wie ihre Gegenstücke in einer oder einer Kombination von 1A-1D, 2B, 3A, 3B, 4A, 4B und 5A-5D beschrieben sind. In einigen Ausführungsformen ist eine beliebige der 1A - 1D, 3A, 3B, 4A und 4B entlang der Linie C vorhanden und/oder ist 2B entlang der Linie D vorhanden.
  • Mehrere Finnen 118f werden durch ein Substrat definiert (siehe z. B. 118 in 2B), und die Finnen 118f sind parallel in einer ersten Richtung verlängert. Mehrere Gate-Elektroden 104 und die mehreren AR-Kontakte 106 sind parallel in einer zweiten Richtung orthogonal zu der ersten Richtung verlängert. Die erste Richtung und die zweite Richtung können zum Beispiel jeweils eine X-Richtung und eine Y-Richtung oder umgekehrt sein. Die Gate-Elektroden 104 liegen jeweils über den Finnen 118f (im Querschnitt gesehen) und überspannen diese. Ähnlich liegen die AR-Kontakte 106 jeweils über den Finnen 118f (im Querschnitt gesehen) und überspannen diese in Source-/Drain-Regionen (nicht gezeigt; siehe z. B. 122 in 1A) und/oder sind teilweise durch die Finnen 118f definiert. In alternativen Ausführungsformen befindet sich mindestens einer der AR-Kontakte 106 an einem anderen Ort (z. B. an einem anderen Ort als eine Source-/Drain-Region) in einer aktiven Region für eine entsprechende der Halbleitervorrichtungen 124.
  • Die Finnen 118f und die Gate-Elektroden 104 definieren teilweise die Halbleitervorrichtungen 124. Die GC-Strukturen 102 befinden sich auf den Halbleitervorrichtungen 124 und erstrecken sich von entsprechenden Gate-Elektroden 104 zu entsprechenden AR-Kontakten 106, um eine elektrische Kopplung zwischen diesen bereitzustellen. Die GC-Strukturen 102 weisen entsprechende Gate-Durchkontaktierungen 114 und entsprechende Gate-Kontakte 116 auf. Die Gate-Durchkontaktierungen 114 trennen die Gate-Kontakte 116 vertikal von den Gate-Elektroden 104. Wie zuvor beschrieben wurde, ermöglichen die Gate-Durchkontaktierungen 114, dass die GC-Strukturen 102 robuster gebildet werden, und verringern den Ableitstrom.
  • Weiterhin unter Bezugnahme auf 6A befinden sich mehrere AR-Durchkontaktierungen 602 auf einigen der AR-Kontakte 106. Ferner sind mehrere zusätzliche Gate-Durchkontaktierungen 114 von den GC-Strukturen 102 getrennt und befinden sich auf den Gate-Elektroden 104. In einigen Ausführungsformen sind die AR-Durchkontaktierungen 602 durchgehend ein einziges Material, während die zusätzlichen Gate-Durchkontaktierungen 114 mehrere Materialien aufweisen. Zum Beispiel weisen die AR-Durchkontaktierungen 602 möglicherweise keine Barriere auf, während die zusätzlichen Gate-Durchkontaktierungen 114 entsprechende Barrieren und entsprechende Anschlüsse aufweisen können. Siehe z. B. 110 und 112 in 1A.
  • Unter Bezugnahme auf 6B wird ein Layout 600B von oben von einigen alternativen Ausführungsformen der IC von 6A bereitgestellt, in welchem die GC-Strukturen 102 in einem Winkel Φ ausgerichtet sind. Der Winkel Φ bezieht sich auf eine Bezugsachse, welche parallel zu einer Richtung ist, entlang welcher die Finnen 118f verlängert sind, und/oder senkrecht zu einer Richtung ist, entlang welcher die Gate-Elektroden 104 und die AR-Kontakte 106 verlängert sind. Wie bezüglich 5D beschrieben und erläutert wird, kann der Winkel Φ zum Beispiel die Wahrscheinlichkeit des Landens der Gate-Kontakte 116 auf entsprechenden AR-Kontakten 106 und/oder entsprechenden Gate-Durchkontaktierungen 114 erhöhen. Mit anderen Worten kann der Winkel Φ zum Beispiel das Landefenster für den Gate-Kontakt 116 vergrößern.
  • Unter Bezugnahme auf 7 wird eine Querschnittsansicht 700 von einigen Ausführungsformen der IC von 6A entlang der Linie C in 6A bereitgestellt. Die Halbleitervorrichtungen 124 befinden sich auf einer Finne 118f eines Substrats 118 und weisen entsprechende Gate-Elektroden 104, entsprechende high-k-Dielektrikumsschichten 706 und entsprechende Gate-Dielektrikumsschichten 120 auf. Es ist zu beachten, dass die high-k-Dielektrikumsschichten 706 auch als Gate-Dielektrikumsschichten ausgelegt werden können. Die Gate-Elektroden 104 weisen entsprechende Metallanschlüsse 702 und entsprechende Austrittsarbeitsschichten 704, die die Unterseiten der Metallanschlüsse 702 umschließen, auf. Die high-k-Dielektrikumsschichten 706 umschließen die Unterseiten der Austrittsarbeitsschichten 704 und trennen die Austrittsarbeitsschichten 704 von den Gate-Dielektrikumsschichten 120.
  • Eine GC-Struktur 102 befindet sich auf einer entsprechenden der Halbleitervorrichtungen 124 und einem entsprechenden der AR-Kontakte 106. Die GC-Struktur 102 weist einen GC-Anschluss 110 und eine GC-Barriere 112, die eine Unterseite des GC-Anschlusses 110 umschließt, auf. In alternativen Ausführungsformen ist die GC-Barriere 112 weggelassen, wie in 1B. Der entsprechende der AR-Kontakte 106 erstreckt sich von der GC-Struktur 102 zu einer Silizidschicht 306 in einer Source-/Drain-Region 122. Ein anderer der AR-Kontakte 106 befindet sich rechts von der GC-Struktur 102 und erstreckt sich unter einer oberen Fläche der Finne 118f zu einer Grabenisolationsstruktur 202. Eine Deckschicht 710 bedeckt die Halbleitervorrichtungen 124 zwischen den Gate-Elektroden 104 und einer benachbarten der ILD-Schichten 132. Die Deckschicht 710 kann zum Beispiel Siliziumnitrid und/oder (ein) andere(s) geeignete(s) Dielektrikum/Dielektrika sein oder aufweisen.
  • Unter Bezugnahme auf 8 wird eine Querschnittsprojektion 800 von einigen Ausführungsformen der IC von 6A innerhalb des Kastens E von 6A bereitgestellt. Die Querschnittsprojektion 800 ist eine Projektion des Abschnitts von 6A innerhalb des Kastens E auf einer zweidimensionalen Ebene (2D-Ebene) in der Richtung, die durch die Pfeile auf dem Kasten E gezeigt ist. Von daher ist die Querschnittsprojektion 800 ähnlich wie die Querschnittsansicht 700 von 7 mit der Ausnahme, dass sie die Überlappung zwischen Merkmalen innerhalb von verschiedenen Querschnittsebenen zeigt. Zum Beispiel ist eine AR-Durchkontaktierung 602 gezeigt, die an die GC-Struktur 102 auf einem entsprechenden der AR-Kontakte 106 angrenzt, wenngleich sich die AR-Durchkontaktierung 602 und die GC-Struktur 102 in verschiedenen Querschnittsebenen befinden können. Als weiteres Beispiel sind die Gate-Elektroden 104 derart gezeigt, dass sie sich unterhalb einer oberen Fläche der Finne 118b in derselben Querschnittsebene wie die GC-Struktur 102 erstrecken, wenngleich diese Erstreckung in einer anderen Querschnittsebene sein kann.
  • Unter Bezugnahme auf 9 - 16, 17A und 17B wird eine Reihe an Querschnittsansichten 900 - 1600, 1700A und 1700B von einigen Ausführungsformen eines Verfahrens zum Bilden einer IC, die eine GC-Struktur aufweist, bereitgestellt. Die Querschnittsansichten 900 - 1600, 1700A und 1700B entsprechen der Querschnittsansicht 300A von 3A und veranschaulichen daher das Bilden der IC und der GC-Struktur 102 in 3A. Allerdings kann das Verfahren, das durch die Querschnittsansichten 900 - 1600, 1700A und 1700B veranschaulicht ist, auch eingesetzt werden, um die IC und/oder die GC-Struktur 102 in einer der 1A, 2A, 2B, 4A, 4B, 5A-5D, 6A, 6B, 7 und 8 zu bilden.
  • Wie durch die Querschnittsansicht 900 von 9 veranschaulicht ist, werden mehrere Halbleitervorrichtungen 124 über einem Substrat 118 bereitgestellt oder anderweitig gebildet. Die Halbleitervorrichtungen 124 weisen entsprechende Gate-Elektroden 104 und entsprechende Gate-Dielektrikumsschichten 120 auf, die die Gate-Elektroden 104 von dem Substrat 118 trennen. Ferner teilen sich die Halbleitervorrichtungen 124 entsprechende Source-/Drain-Regionen 122 seitlich zwischen den Gate-Elektroden 104. In alternativen Ausführungsformen weist mindestens eine der Halbleitervorrichtungen 124 eine einzelne Source-/Drain-Region auf. Die Source-/Drain-Regionen 122 können zum Beispiel dotierte Regionen des Substrats 118 und/oder von epitaxialen Schichten, die in eine Oberseite des Substrats 118 gesunken gebildet sind, sein. Die Source-/Drain-Regionen 122 und die oberen Abschnitte des Substrats 118 die unter den Halbleitervorrichtungen 124 liegen, definieren mindestens teilweise eine aktive Region 126.
  • In einigen Ausführungsformen sind die Halbleitervorrichtungen 124 planare FETs und/oder weisen jeweils dasselbe Querschnittsprofil wie ihr Gegenstück in 2A auf. Zum Beispiel kann ein Querschnittsprofil einer Halbleitervorrichtung, das entlang der Linie F-F' vorhanden ist, wie sein Gegenstück in 2A sein. In einigen Ausführungsformen sind die Halbleitervorrichtungen 124 finFETs und/oder weisen jeweils dasselbe Querschnittsprofil wie ihr Gegenstück in 2B auf. Zum Beispiel kann ein Querschnittsprofil einer Halbleitervorrichtung, das entlang der Linie F-F' vorhanden ist, wie sein Gegenstück in 2B sein. In noch weiteren alternativen Ausführungsformen sind die Halbleitervorrichtungen 124 GAA-Transistoren, andere geeignete Transistoren, Speicherzellen oder andere geeignete Halbleitervorrichtungen.
  • Wie auch durch die Querschnittsansicht 900 von 9 veranschaulicht ist, sind mehrere innere Seitenwandabstandshalter 302 und mehrere äußere Seitenwandabstandshalter 304 gebildet. Die inneren Seitenwandabstandshalter 302 sind jeweils auf Seitenwänden der Gate-Elektroden 104 gebildet. Die äußeren Seitenwandabstandshalter 304 sind jeweils auf Seitenwänden der inneren Seitenwandabstandshalter 302 gebildet und jeweils über den Source-/Drain-Regionen 122 liegend gebildet.
  • Wie durch die Querschnittsansicht 1000 von 10 veranschaulicht ist, ist eine erste ILD-Schicht 132a über den Halbleitervorrichtungen 124 und dem Substrat 118 gebildet. Ferner ist die erste ILD-Schicht 132a mit einer oberen Fläche gebildet, die flach oder im Wesentlichen flach ist. Ein Prozess zum Bilden der ersten ILD-Schicht 132a kann zum Beispiel das Abscheiden der ersten ILD-Schicht 132a und das darauffolgende Durchführen einer Planarisierung in die obere Fläche der ersten ILD-Schicht 132a umfassen. Es sind jedoch andere Prozesse möglich. Die Planarisierung kann zum Beispiel ein chemisch-mechanisches Polieren (CMP) und/oder ein anderer geeigneter Planarisierungsprozess sein oder diese umfassen.
  • Wie durch die Querschnittsansicht 1100 von 11 veranschaulicht ist, wird ein erstes Ätzen in die erste ILD-Schicht 132a durchgeführt, um mehrere AR-Öffnungen 1102 zu bilden. Die AR-Öffnungen 1102 legen mindestens einige der Source-/Drain-Regionen 122 und/oder (einen) andere(n) geeignete(n) Standort(e) in der aktiven Region 126 frei. In einigen Ausführungsformen weisen die AR-Öffnungen 1102 obere Layouts auf, die seitlich verlängert sind und/oder die über mehreren Finnen der finFETs liegen. Ein nichteinschränkendes Beispiel solcher Layouts von oben ist zum Beispiel durch Bezugnahme auf die AR-Kontakte 106 in 6A und/oder 6B zu finden. In alternativen Ausführungsformen weisen die AR-Öffnungen 1102 obere Layouts auf, die kreisförmig sind, quadratisch sind oder eine andere geeignete Form aufweisen. Ein Prozess zum Durchführen des ersten Ätzens kann zum Beispiel das Bilden einer ersten Maske 1104 auf der ersten ILD-Schicht 132a, das Ätzen der ersten ILD-Schicht 132a mit der ersten Maske 1104 an ihrer Stelle und das Entfernen der ersten Maske 1104 umfassen. Es sind jedoch andere Prozesse möglich. Die erste Maske 1104 kann zum Beispiel ein Photoresist und/oder (ein) andere(s) geeignete(s) Maskenmaterial(ien) sein.
  • Wie durch die Querschnittsansicht 1200 von 12 veranschaulicht ist, sind die Silizidschichten 306 auf der aktiven Region 126 an Unterseiten der AR-Öffnungen 1102 gebildet (siehe z. B. 11). Zum Beispiel können die Silizidschichten 306 auf den Source-/Drain-Regionen 122 in den AR-Öffnungen 1102 gebildet sein. Die Silizidschichten 306 sind oder umfassen ein Metallsilizid. Die Silizidschichten 306 können zum Beispiel durch einen Salicide-Prozess oder einen anderen geeigneten Prozess zum Bilden von Metallsilizid gebildet werden.
  • Wie auch durch die Querschnittsansicht 1200 von 12 veranschaulicht ist, werden die AR-Kontakte 106 gebildet, die die AR-Öffnungen 1102 (siehe z. B. 11) über den Silizidschichten 306 füllen. Die AR-Kontakte 106 weisen entsprechende AR-Anschlüsse 128 und entsprechende AR-Barrieren 130, die die Unterseiten der AR-Anschlüsse 128 umschließen, auf. Ein Prozess zum Bilden der AR-Kontakte 106 kann zum Beispiel Folgendes umfassen: 1) derartiges Abscheiden einer Barriereschicht, dass sie die erste ILD-Schicht 132a bedeckt und die AR-Öffnungen 1102 auskleidet und teilweise füllt; 2) derartiges Abscheiden einer Anschlussschicht, dass sie die Barriereschicht bedeckt und einen Rest der AR-Öffnungen 1102 füllt; und 3) Durchführen einer Planarisierung in die Barriereschicht, die Anschlussschicht und die erste ILD-Schicht 132a, bis die oberen Flächen davon ungefähr auf einer Höhe liegen. Es sind jedoch andere Prozesse zum Bilden der AR-Kontakte 106 möglich. Das Planarisieren verringert die Dicke der ersten ILD-Schicht 132a auf eine Dicke Tild und trennt die Anschlussschicht und die Barriereschicht jeweils in die AR-Anschlüsse 128 und die AR-Barrieren 130. Ferner kann die Planarisierung zum Beispiel ein CMP und/oder ein anderer geeigneter Planarisierungsprozess sein oder diese umfassen.
  • In einigen Ausführungsformen beträgt die Dicke Tild der ersten ILD-Schicht 132a ungefähr 8 - 25 Nanometer, ungefähr 8 - 16,5 Nanometer, ungefähr 16,5 - 25 Nanometer oder ein anderer geeigneter Wert direkt über den Gate-Elektroden 104. Wenn die Dicke Tild zu gering ist (z. B. geringer als ungefähr 8 Nanometer oder ein anderer geeigneter Wert), wird die Wahrscheinlichkeit, dass ein darauffolgend gebildeter Gate-Kontakt zu nahe an eine benachbarte Gate-Elektrode gerät, hoch. Dies wiederum erhöht die Wahrscheinlichkeit eines Ableitstroms und/oder eines elektrischen Kurzschlusses, der zu einem Vorrichtungsausfall führen kann. Wenn die Dicke Tild zu groß ist (z. B. größer als ungefähr 25 Nanometer), wird Material verschwendet.
  • Wie durch die Querschnittsansicht 1300 von 13 veranschaulicht ist, werden eine Ätzstoppschicht 134 und eine zweite ILD-Schicht 132b über der ersten ILD-Schicht 132a und den AR-Kontakten 106 abgeschieden. Die Ätzstoppschicht 134 trennt die erste und die zweite ILD-Schicht 132a, 132b voneinander und, wie nachstehend hierin zu sehen ist, dient als ein Ätzstopp während dem Bilden eines Gate-Kontakts, um eine Kontrolle über die vertikale Trennung zwischen dem Gate-Kontakt und den Gate-Elektroden 104 bereitzustellen.
  • Wie durch die Querschnittsansicht 1400 von 14 veranschaulicht ist, wird ein zweites Ätzen in die erste und die zweite ILD-Schicht 132a, 132b und die Ätzstoppschicht 134 durchgeführt, um eine Durchkontaktierungsöffnung 1402 zu bilden, die eine erste Gate-Elektrode 104a freilegt. Die Durchkontaktierungsöffnung 1402 kann zum Beispiel ein Layout von oben aufweisen, wie für die Gate-Durchkontaktierung(en) 114 in 5A-5D, 6A und 6B gezeigt ist, und/oder wie für die Durchkontaktierungsstruktur 504 in 5A-5D gezeigt ist. Es sind jedoch andere obere Layouts möglich.
  • In einigen Ausführungsformen weist die Durchkontaktierungsöffnung 1402 eine Breite Wgv von ungefähr 8-25 Nanometer, ungefähr 8,0 - 16,5 Nanometer, ungefähr 16,5 - 25,0 Nanometer oder einem anderen geeigneten Wert auf. Wenn die Breite Wgv zu gering ist (z. B. geringer als ungefähr 8 Nanometer oder ein anderer geeigneter Wert), kann die Durchkontaktierungsöffnung 1402 ein hohes Aspektverhältnis aufweisen und kann somit eine Gate-Durchkontaktierung, die darauffolgend in der Durchkontaktierungsöffnung 1402 gebildet ist, dafür anfällig sein, mit Spalten und Lücken gebildet zu werden. Zusätzlich kann das Bilden der Durchkontaktierungsöffnung 1402 aufgrund der geringen Größe eine Herausforderung darstellen. Wenn die Breite Wgv zu groß ist (z. B. größer als ungefähr 25 Nanometer oder ein anderer geeigneter Wert), besteht eine große Gefahr, dass die Durchkontaktierungsöffnung 1402 möglicherweise zu nahe an den ersten AR-Kontakt 106a rechts von der Durchkontaktierungsöffnung 1402 gerät. Somit kann die Gate-Durchkontaktierung einen starken Ableitstrom und/oder einen elektrischen Kurzschluss mit dem ersten AR-Kontakt 106a aufweisen.
  • Ein Prozess zum Durchführen des zweiten Ätzens kann zum Beispiel das Bilden einer zweiten Maske 1404 auf der zweiten ILD-Schicht 132b, das Ätzen der ersten und der zweiten ILD-Schicht 132a, 132b und der Ätzstoppschicht 134 mit der zweiten Maske 1404 an ihrer Stelle und das Entfernen der zweiten Maske 1404 umfassen. Es sind jedoch andere Prozesse möglich. Die zweite Maske 1404 kann zum Beispiel ein Photoresist und/oder (ein) andere(s) geeignete(s) Maskenmaterial(ien) sein.
  • Wie durch die Querschnittsansicht 1500 von 15 veranschaulicht ist, wird ein drittes Ätzen in die zweiten ILD-Schichten 132b durchgeführt, um eine Kontaktöffnung 1502 zu bilden. Die Kontaktöffnung 1502 liegt über einem zweiten AR-Kontakt 106b links von der Durchkontaktierungsöffnung 1402. Ferner überlappt sich die Kontaktöffnung 1502 mit der Durchkontaktierungsöffnung 1402 um eine Distanz D2 und ist seitlich um eine Distanz Dg von dem ersten AR-Kontakt 106a getrennt. Die Kontaktöffnung 1502 kann zum Beispiel ein Layout von oben aufweisen, wie für die Kontaktstruktur 502 in 5A-5D gezeigt ist. Es sind jedoch andere obere Layouts möglich.
  • In einigen Ausführungsformen beträgt die Distanz D2 ungefähr 5 - 25 Nanometer, ungefähr 5 - 15 Nanometer, ungefähr 15 - 25 Nanometer, ungefähr 3-25 Nanometer, mehr als ungefähr 3 Nanometer oder einen anderen geeigneten Wert. Wenn die Distanz D2 zu gering ist (z. B. geringer als ungefähr 3 Nanometer oder ein anderer geeigneter Wert), kann die elektrische Kopplung zwischen einem Gate-Kontakt und einer Gate-Durchkontaktierung, die darauffolgend in der Kontaktöffnung 1502 und der Durchkontaktierungsöffnung 1402 gebildet sind, schlecht sein und/oder anderweitig ausfallen. Wenn die Distanz D2 zu groß ist (z. B. größer als ungefähr 25 Nanometer oder ein anderer geeigneter Wert), kann sich die Kontaktöffnung 1502 über die Durchkontaktierungsöffnung 1402 hinaus erstrecken. Dies wiederum vergrößert die Gefahr, dass die Distanz D3 zu gering ist (siehe nachstehend).
  • In einigen Ausführungsformen beträgt die Distanz D3 ungefähr 5-25 Nanometer, ungefähr 5 - 15 Nanometer, ungefähr 15 - 25 Nanometer, ungefähr 3-25 Nanometer, mehr als ungefähr 3 Nanometer oder einen anderen geeigneten Wert. Wenn die Distanz D3 zu gering ist (z. B. geringer als ungefähr 3 Nanometer oder ein anderer geeigneter Wert), kann der Ableitstrom zwischen einem Gate-Kontakt, der darauffolgend in der Kontaktöffnung 1502 gebildet ist, und dem ersten AR-Kontakt 106a stark sein und/oder können der Gate-Kontakt und der erste AR-Kontakt 106a elektrisch gekoppelt sein. Wenn die Distanz D3 zu groß ist (z. B. größer als ungefähr 25 Nanometer oder ein anderer geeigneter Wert), kann Vorrichtungsskalierung unnötigerweise behindert werden und/oder kann die Distanz D2 zu gering sein.
  • Aufgrund der Durchkontaktierungsöffnung 1402 wird die Gefahr, dass die Distanz D2 und die Distanz D3 zu gering und/oder zu groß sind, verringert. Die Durchkontaktierungsöffnung 1402 stellt einen Puffer seitlich zwischen der Kontaktöffnung 1502 und dem ersten AR-Kontakt 106a bereit. Der Puffer verringert die Wahrscheinlichkeit, dass die Kontaktöffnung 1502 zu nahe an den ersten AR-Kontakt 106a gerät (siehe z. B. die Distanz D3). Von daher wird die Wahrscheinlichkeit eines Ableitstroms und/oder eines elektrischen Kurzschlusses verringert. Ferner ermöglicht der Puffer, dass die Kontaktöffnung 1502 näher an den ersten AR-Kontakt 106a gerät, als ansonsten möglich wäre. Von daher ist die Überlagerung zwischen der Kontaktöffnung 1502 und der Durchkontaktierungsöffnung 1402 (siehe z. B. die Distanz D2) robust (weist z. B. ein großes Prozessfenster auf).
  • Ein Prozess zum Durchführen des dritten Ätzens kann zum Beispiel das Bilden einer dritten Maske 1504 auf der zweiten ILD-Schicht 132b, das Ätzen der zweiten ILD-Schichten 132b mit der dritten Maske 1504 an ihrer Stelle und das Entfernen der dritten Maske 1504 umfassen. Es sind jedoch andere Prozesse möglich. In einigen Ausführungsformen ist die dritte Maske 1504 ein Photoresist und/oder (ein) andere(s) geeignete(s) Maskenmaterial(ien). In einigen Ausführungsformen füllt die dritte Maske 1504 teilweise die Durchkontaktierungsöffnung 1402, um die erste Gate-Elektrode 104a in der Durchkontaktierungsöffnung 1402 zu schützen und/oder die Breite Wgv der Durchkontaktierungsöffnung 1402 zu schützen. In einigen Ausführungsformen dient die Ätzstoppschicht 134 als ein Ätzstopp für das Ätzen, so dass das Ätzen auf der Ätzstoppschicht 134 stoppt. In einigen Ausführungsformen tritt ein Überätzen während dem Ätzen auf und erstreckt sich die Kontaktöffnung 1502 in die Ätzstoppschicht 134 hinein.
  • In einigen Ausführungsformen wird das Ätzen durch ein Trockenätzen durchgeführt, um eine hohe Selektivität zwischen der zweiten ILD-Schicht 132b und der Ätzstoppschicht 134 zu erzielen und das Überätzen zu minimieren. In einigen Ausführungsformen bildet das Trockenätzen Plasma aus einem Ätzgas, das Hexafluor-1,3-Butadien-Gas (z. B. C4F6) ist oder umfasst, um eine hohe Selektivität zu erzielen. Es sind jedoch andere Ätzgase möglich. In einigen Ausführungsformen, in welchen das Ätzgas Hexafluor-1,3-Butadien-Gas ist oder umfasst, ist oder umfasst die zweite ILD-Schicht 132b Siliziumoxid und ist oder umfasst die Ätzstoppschicht 134 Siliziumnitrid. Es sind jedoch andere Materialien für die zweite ILD-Schicht 132b und die Ätzstoppschicht 134 möglich und/oder es ist/sind (ein) andere(s) Ätzgas(e) möglich. In alternativen Ausführungsformen wird das Ätzen durch ein Nassätzen und/oder einen anderen geeigneten Ätzprozess durchgeführt. Das Nassätzen ist jedoch möglicherweise nicht in der Lage, eine hohe Selektivität zwischen der zweiten ILD-Schicht 132b und der Ätzstoppschicht 134 zu erzielen.
  • Wie durch die Querschnittsansicht von 1600 von 16 veranschaulicht ist, wird ein viertes Ätzen in die Ätzstoppschicht 134 durchgeführt, um die Kontaktöffnung 1502 zu dem zweiten AR-Kontakt 106b zu erweitern und eine untere Fläche der Kontaktöffnung 1502 um eine Distanz D4 auszusparen. Zusätzlich erodiert das vierte Ätzen eine Ecke 1602 (gestrichelt gezeigt) an einer Grenze zwischen der Durchkontaktierungs- und der Kontaktöffnung 1402, 1502, so dass die untere Fläche von dem zweiten AR-Kontakt 106b nach unten zu der Durchkontaktierungsöffnung 1402 abgeschrägt ist. Die untere Fläche befindet sich in einem Winkel α bezüglich einer Seitenwand der Durchkontaktierungsöffnung 1402 und die untere Fläche und die Seitenwand sind durch die erste ILD-Schicht 132a definiert.
  • Durch Bilden der Kontaktöffnung 1502, so dass die untere Fläche nach unten abgeschrägt ist, weisen die Durchkontaktierungsöffnung 1402 und somit eine Gate-Durchkontaktierung, die darauffolgend in der Durchkontaktierungsöffnung 1402 gebildet ist, geringe Aspektverhältnisse auf (z. B. geringe Verhältnisse von Höhe zu Breite). Das geringe Aspektverhältnis der Durchkontaktierungsöffnung 1402 verhindert eine Anhäufung von Material um die Ecke 1602 herum, während Material abgeschieden wird, aus welchem die Gate-Durchkontaktierung gebildet ist. Die Anhäufung kann wiederum eine Oberseite der Durchkontaktierungsöffnung 1402 abquetschen, bevor die Durchkontaktierungsöffnung 1402 vollständig gefüllt ist, um Spalte oder Lücken zu bilden. Solche Spalte und Lücken könnten einen Widerstand der Gate-Durchkontaktierung erhöhen und/oder Betriebsparameter aus dem Wertebereich heraus verschieben. Daher wird durch Bilden der Kontaktöffnung 1502, so dass die untere Fläche nach unten abgeschrägt ist, die Wahrscheinlichkeit von Spalten und Lücken an der Durchkontaktierungsöffnung 1402 und somit an der Gate-Durchkontaktierung verringert.
  • In einigen Ausführungsformen ist der Winkel α ungefähr 30 - 70 Grad, ungefähr 30 - 50 Grad, ungefähr 50 - 70 Grad oder ein anderer geeigneter Wert. Wenn der Winkel α zu klein ist (z. B. kleiner als ungefähr 30 Grad oder ein anderer geeigneter Wert), kann das Aspektverhältnis der Durchkontaktierungsöffnung 1402 hoch sein. Von daher kann die Wahrscheinlichkeit von Spalten und Lücken an einer Gate-Durchkontaktierung, die darauffolgend in der Durchkontaktierungsöffnung 1402 gebildet ist, hoch sein. Wenn der Winkel α zu groß ist (z. B. größer als ungefähr 70 Grad oder ein anderer geeigneter Wert), kann ein Überätzen der ersten ILD-Schicht 132a auftreten und kann eine Distanz D1 von der Kontaktöffnung 1502 zu einer zweiten Gate-Elektrode 104b zu gering werden. Wenn die Distanz D1 zu gering ist, kann ein Ableitstrom und/oder ein elektrischer Kurzschluss von der zweiten Gate-Elektrode 104b zu einem Gate-Kontakt, der darauffolgend in der Kontaktöffnung 1502 gebildet ist, auftreten.
  • In einigen Ausführungsformen hängen der Winkel α und das Aspektverhältnis der Gate-Öffnung 1402 von einem Raum S zwischen der Durchkontaktierungsöffnung 1402 und dem zweiten AR-Kontakt 106b ab. Zum Beispiel können der Winkel α und das Aspektverhältnis mit kleiner werdendem Raum S größer werden. In einigen Ausführungsformen beträgt die Größe des Raums S ungefähr 9 - 18 Nanometer, ungefähr 9,0 - 13,5 Nanometer, ungefähr 13,5 - 18,0 Nanometer oder einen anderen geeigneten Wert. Wenn der Raum S zu klein ist (z. B. kleiner als ungefähr 9 Nanometer oder ein anderer geeigneter Wert), kann der Winkel α groß sein und kann das Aspektverhältnis groß sein. Von daher kann die Wahrscheinlichkeit von Spalten und Lücken an der Gate-Durchkontaktierung 114 hoch sein. Bei entstehenden und künftigen Prozessknoten kann der Raum S zum Beispiel durch die Merkmalsdichte begrenzt werden, so dass der Raum S zum Beispiel kleiner als ungefähr 18 Nanometer oder ein anderer geeigneter Wert sein kann. In einigen Ausführungsformen weist der Raum S eine Größe von ungefähr 9 - 18 Nanometer auf und beträgt der Winkel α ungefähr 45 - 60 Grad. Es sind jedoch andere Werte für den Raum S und den Winkel α möglich.
  • In einigen Ausführungsformen ist die Distanz D4 geringer als ungefähr 10 Nanometer oder ein anderer geeigneter Wert. Wenn die Distanz D4 zu groß ist (z. B. größer als ungefähr 10 Nanometer oder ein anderer geeigneter Wert), kann die Distanz D1 von der Kontaktöffnung 1502 zu der zweiten Gate-Elektrode 104b zu gering werden. In einigen Ausführungsformen beträgt die Distanz D1 ungefähr 5 - 25 Nanometer, ungefähr 5 - 15 Nanometer, ungefähr 15 - 25 Nanometer, ungefähr 10 - 25 Nanometer, mehr als ungefähr 10 Nanometer oder einen anderen geeigneten Wert. Wenn die Distanz D1 zu gering ist (z. B. geringer als ungefähr 5 Nanometer oder ein anderer geeigneter Wert), kann ein Ableitstrom und/oder ein elektrischer Kurzschluss von der zweiten Gate-Elektrode 104b zu einem Gate-Kontakt, der darauffolgend in der Kontaktöffnung 1502 gebildet ist, auftreten. Wenn die Distanz D1. zu groß ist (z. B. größer als ungefähr 25 Nanometer oder ein anderer geeigneter Wert), kann ein Aspektverhältnis der Durchkontaktierungsöffnung 1402 groß sein. Wie zuvor erörtert wurde, erhöht dies die Wahrscheinlichkeit, dass sich Spalte oder Lücken an der Durchkontaktierungsöffnung 1402 bilden.
  • Ein Prozess zum Durchführen des vierten Ätzens kann zum Beispiel das Ätzen der Ätzstoppschicht 134 und das darauffolgende Reinigen des Ätzrests umfassen. Es sind jedoch andere Prozesse möglich. Zum Beispiel kann das Reinigen weggelassen werden. In einigen Ausführungsformen werden das dritte Ätzen (siehe z. B. 15) und das vierte Ätzen vor Ort innerhalb einer gemeinsamen Ätzprozesskammer durchgeführt, so dass sich das Substrat 118 durchgehend von einem Beginn des dritten Ätzens bis zu einem Ende des vierten Ätzens in der gemeinsamen Ätzprozesskammer befindet. In alternativen Ausführungsformen wird das vierte Ätzen in einer anderen Ätzprozesskammer als das dritte Ätzen durchgeführt. In einigen Ausführungsformen dient die erste ILD-Schicht 132a als ein Ätzstopp für das Ätzen des vierten Ätzens, so dass das Ätzen auf der ersten ILD-Schicht 132a stoppt. In einigen Ausführungsformen tritt ein Überätzen während dem Ätzen auf, so dass sich die Kontaktöffnung 1502 in die erste ILD-Schicht 132a hinein erstreckt.
  • In einigen Ausführungsformen wird das Ätzen durch ein Trockenätzen durchgeführt. Das Trockenätzen ermöglicht eine hohe Selektivität zwischen der Ätzstoppschicht 134 und der ersten ILD-Schicht 132a, um das Überätzen zu minimieren und die Distanz D1 groß zu halten. Ferner stellt das Trockenätzen eine physikalische Bombardierung durch Ionen bereit, die die Ecke 1602 erodieren und die untere Fläche der Kontaktöffnung 1502 abschrägen. Wie zuvor erwähnt wurde, verhindert das Abschrägen, dass sich Spalte und Lücken an der Durchkontaktierungsöffnung 1402 bilden. In einigen Ausführungsformen bildet das Trockenätzen Plasma aus einem Ätzgas, das Tetrafluormethangas (z. B. CF4) und/oder Wasserstoffgas (z. B. H2) ist oder umfasst. Es sind jedoch andere Ätzgase möglich. In einigen Ausführungsformen, in welchen das Ätzgas Tetrafluormethangas und Wasserstoffgas umfasst, ist ein Verhältnis des Wasserstoffgases zu dem Tetrafluormethangas größer als ungefähr 10. Zum Beispiel kann eine Strömungsrate des Wasserstoffgases in eine Prozesskammer, innerhalb welcher das Trockenätzen durchgeführt wird, ungefähr 10 Mal höher als jene für das Tetrafluormethangas sein. In einigen Ausführungsformen, in welchen das Ätzgas Tetrafluormethangas und Wasserstoffgas umfasst, ist oder umfasst die Ätzstoppschicht 134 Siliziumnitrid und ist oder umfasst die erste ILD-Schicht 132a Siliziumoxid. Es sind jedoch andere Materialien und Gase möglich. In alternativen Ausführungsformen wird das Ätzen durch ein Nassätzen und/oder einen anderen geeigneten Ätzprozess durchgeführt. Das Nassätzen ist jedoch möglicherweise nicht in der Lage, eine hohe Selektivität zwischen der Ätzstoppschicht 134 und der ersten ILD-Schicht 132a zu erzielen, und somit kann das Überätzen hoch sein. Dies erhöht die Wahrscheinlichkeit, dass die Distanz D1 zu gering wird (wie zuvor erörtert wurde).
  • In einigen Ausführungsformen wird das Reinigen durch Plasmabehandlung von freigelegten Flächen in der Durchkontaktierungsöffnung und der Kontaktöffnung 1402, 1502 unter Verwendung von Wasserstoffgas (z. B. H2) und/oder Stickstoffgas (z. B. N2) durchgeführt oder umfasst diese. Es sind jedoch andere Gase und/oder andere Reinigungsprozesse möglich. Die Plasmabehandlung bombardiert die Ecke 1602 mit Ionen und erodiert die Ecke 1602, um die Unterseite der Kontaktöffnung 1502 weiter abzuschrägen. Wie zuvor erwähnt wurde, verhindert das Abschrägen, dass sich Spalte und Lücken an der Durchkontaktierungsöffnung 1402 bilden. In einigen Ausführungsformen erodiert das Reinigen die Ecke 1602 um ein größeres Ausmaß als das Ätzen und/oder werden das Reinigen und das Ätzen jeweils durch ein Trockenätzen und einen Plasmabehandlungsprozess durchgeführt.
  • Wie durch die Querschnittsansicht 1700A von 17A veranschaulicht ist, wird eine Barriereschicht 1702 so abgeschieden, dass sie die zweite ILD-Schicht 132b bedeckt, und ferner so abgeschieden, dass sie die Kontaktöffnung 1502 (siehe z. B. 16) und die Durchkontaktierungsöffnung 1402 (siehe z. B. 16) auskleidet und teilweise füllt. Ferner wird eine Anschlussschicht 1704 derart abgeschieden, dass sie die Barriereschicht 1702 bedeckt und einen Rest der Kontaktöffnung 1502 und der Durchkontaktierungsöffnung 1402 füllt. Die Barriereschicht 1702 blockiert ein Diffundieren von Material durch die Barriereschicht 1702 von der Anschlussschicht 1704 und/oder durch die Barriereschicht 1702 zu der Anschlussschicht 1704. Ferner dient in einigen Ausführungsformen die Barriereschicht 1702 als eine Adhäsionsschicht für die Anschlussschicht 1704. Die Barriereschicht 1702 kann zum Beispiel Titan, Titannitrid, Tantalnitrid, ein anderes geeignetes Barrierematerial oder eine beliebige Kombination des Vorherigen sein oder aufweisen. Die Anschlussschicht 1704 kann zum Beispiel Kobalt, Ruthenium, Wolfram, ein anderes geeignetes Metall oder eine beliebige Kombination des Vorherigen sein oder aufweisen.
  • In einigen Ausführungsformen ist eine Dicke Tb der Barriereschicht 1702 an einer Unterseite der Barriereschicht 1702 größer als an Seitenwänden der Barriereschicht 1702. Zum Beispiel kann die Dicke Tb ungefähr 3 - 8 Nanometer an der Unterseite und/oder ungefähr 1 - 3 Nanometer an den Seitenwänden betragen. Es sind jedoch andere Dickenwerte möglich. Wenn die Dicke Tb zu gering ist (z. B. geringer als ungefähr 1 Nanometer oder ein anderer geeigneter Wert), ist die Barriereschicht 1702 möglicherweise nicht in der Lage, eine Diffusion von Material effektiv zu blockieren, und/oder ist die Anschlussschicht 1704 möglicherweise nicht in der Lage, an der Barriereschicht 1702 anzuhaften. Wenn die Dicke Tb zu groß ist (z. B. größer als ungefähr 8 Nanometer oder ein anderer geeigneter Wert), kann ein Widerstand von der Anschlussschicht 1704 zu der ersten Gate-Elektrode 104a hoch sein, weil die Barriereschicht 1702 einen hohen Widerstand bezüglich der Anschlussschicht 1704 aufweisen kann. Der hohe Widerstand kann zum Beispiel den Betrieb der IC aus dem Wertebereich heraus verschieben.
  • Die Abscheidung der Barriereschicht 1702 kann zum Beispiel chemische Dampfabscheidung (CVD), physikalische Dampfabscheidung (PVD, Physical Vapor Deposition), einen anderen geeigneten Abscheidungsprozess oder eine beliebige Kombination des Vorherigen sein oder umfassen. Die Abscheidung der Anschlussschicht 1704 kann zum Beispiel CVD, PVD, elektrolose Plattierung, Elektroplattierung, einen anderen geeigneten Abscheidungsprozess oder eine beliebige Kombination des Vorherigen sein oder umfassen.
  • Wie durch die Querschnittsansicht 1700B von 17B veranschaulicht ist, wird eine Planarisierung in die Barriereschicht 1702 (siehe z. B. 17A), die Anschlussschicht 1704 (siehe z. B. 17A) und die zweite ILD-Schicht 132b durchgeführt, bis die oberen Flächen davon ungefähr auf einer Höhe liegen. Die Planarisierung kann zum Beispiel ein CMP und/oder ein anderer geeigneter Planarisierungsprozess sein oder diese umfassen. Die Planarisierung bildet eine GC-Struktur 102 in der Kontaktöffnung 1502 (siehe z. B. 16) und der Durchkontaktierungsöffnung 1402 (siehe z. B. 16).
  • Die GC-Struktur 102 koppelt die erste Gate-Elektrode 104a elektrisch mit dem zweiten AR-Kontakt 106b. Die GC-Struktur 102 weist einen GC-Anschluss 110 und eine GC-Barriere 112 auf, die jeweils aus der Anschlussschicht 1704 und der Barriereschicht 1702 gebildet sind. Die GC-Barriere 112 umschließt eine Unterseite des GC-Anschlusses 110 und trennt den GC-Anschluss 110 von der ersten Gate-Elektrode 104a und dem zweiten AR-Kontakt 106b. Ferner definiert die GC-Struktur 102 eine Gate-Durchkontaktierung 114 und einen Gate-Kontakt 116. Eine untere Fläche der GC-Struktur 102 ist von dem zweiten AR-Kontakt 106b nach unten zu der Gate-Durchkontaktierung 114 abgeschrägt. Wie zuvor erörtert wurde, verringert das Abschrägen ein Aspektverhältnis der Gate-Durchkontaktierung 114 und verringert somit die Wahrscheinlichkeit von Spalten und/oder Lücken. Die Spalte und/oder Lücken würden einen Widerstand von dem Gate-Kontakt 116 zu der ersten Gate-Elektrode 104a erhöhen und könnten daher Betriebsparameter der IC aus dem Wertebereich heraus verschieben.
  • Wie in den vorherigen Figuren (siehe z. B. 14 - 16, 17A und 17B) zu sehen ist, ist die GC-Struktur 102 nach den AR-Kontakten 106 gebildet. Da die GC-Struktur 102 nach den AR-Kontakten 106 gebildet wird, werden die AR-Öffnungen 1102 (siehe z. B. 11), innerhalb welcher die AR-Kontakte 106 gebildet sind, während dem Bilden der GC-Struktur 102 gebildet. Ferner werden die Source-/Drain-Regionen 122 und/oder andere Abschnitte der aktiven Region 126 in den AR-Öffnungen 1102 nicht durch die AR-Öffnungen 1102 während dem Bilden der GC-Struktur 102 freigelegt. Dies wiederum verhindert eine Oxidation der Source-/Drain-Regionen und/oder der aktiven Region 126 während dem Bilden der GC-Struktur 102. Solch eine Oxidation würde den Widerstand von den AR-Kontakten 106 zu der aktiven Region 126 erhöhen. Solch ein erhöhter Widerstand könnte wiederum Betriebsparameter der IC aus dem Wertebereich heraus verschieben, Erträge verringern, den Stromverbrauch erhöhen und so weiter.
  • Wie in den vorherigen Figuren (siehe z. B. 14 - 16, 17A und 17B) zu sehen ist, ist auch der Gate-Kontakt 116 von der ersten Gate-Elektrode 104a beabstandet und durch die Gate-Durchkontaktierung 114 elektrisch mit dieser gekoppelt gebildet. Das Bilden des Gate-Kontakts 116 an sich weist ein größeres Prozessfenster auf (ist z. B. robuster) als das Weglassen der Gate-Durchkontaktierung 114 und das Bilden des Gate-Kontakts 116 direkt auf der ersten Gate-Elektrode 104a. Das Bilden des Gate-Kontakts 116 direkt auf der Gate-Elektrode 104 umfasst das Landen der Kontaktöffnung 1502 (siehe z. B. 16) sowohl auf der ersten Gate-Elektrode 104a als auch dem zweiten AR-Kontakt 106b, während sie ausreichend von der zweiten Gate-Elektrode 104b und dem ersten AR-Kontakt 106a beabstandet bleibt, um einen Ableitstrom und/oder eine elektrische Kopplung zu vermeiden. Von daher unterliegt das Landen der Kontaktöffnung 1502 direkt auf der Gate-Elektrode 104 einer großen Anzahl an Überlagerungs- und/oder Abmessungsbeschränkungen. Ferner sind aufgrund der großen Größe der Kontaktöffnung 1502 die Beschränkungen ziemlich strikt, um einen Ableitstrom und/oder eine elektrische Kopplung zu vermeiden.
  • Dagegen umfasst das Bilden des Gate-Kontakts 116, der über der Gate-Elektrode 104 beabstandet ist und durch die Gate-Durchkontaktierung 114 elektrisch mit dieser gekoppelt ist, das Landen der Durchkontaktierungsöffnung 1402 (siehe z. B. 16) auf der Gate-Elektrode 104 und das Landen der Kontaktöffnung 1502 auf der Durchkontaktierungsöffnung 1402. Diese beiden Landungen weisen einzeln und gemeinsam größere Prozessfenster (sind z. B. robuster) als das Landen der Kontaktöffnung 1502 auf der ersten Gate-Elektrode 104a auf. Das Landen der Durchkontaktierungsöffnung 1402 auf der ersten Gate-Elektrode 104a unterliegt weniger Beschränkungen als das Landen der Kontaktöffnung 1502 auf der ersten Gate-Elektrode 104a. Die Durchkontaktierungsöffnung 1402 landet nicht auf dem zweiten AR-Kontakt 106b, so dass die Durchkontaktierungsöffnung 1402 über der ersten Gate-Elektrode 104a zentriert werden kann. Ferner ist die Durchkontaktierungsöffnung1402 kleiner als die Kontaktöffnung 1502, so dass eine geringere Gefahr besteht, dass die Durchkontaktierungsöffnung 1402 zu nahe an den ersten AR-Kontakt 106a gerät und einen Ableitstrom und/oder einen elektrischen Kurzschluss hervorruft. Von daher können Überlagerungs- und/oder Abmessungsbeschränkungen weniger strikt sein.
  • Das Landen der Kontaktöffnung 1502 auf der Durchkontaktierungsöffnung 1402 unterliegt weniger strikten Beschränkungen als das Landen der Kontaktöffnung 1502 auf der ersten Gate-Elektrode 104a. Die Kontaktöffnung 1502 ist vertikal von der zweiten Gate-Elektrode 104b verschoben, so dass eine geringere Gefahr besteht, dass die Kontaktöffnung 1502 zu nahe an die zweite Gate-Elektrode 104b gerät und einen Ableitstrom und/oder einen elektrischen Kurzschluss hervorruft. Ferner stellt die Durchkontaktierungsöffnung 1402 einen Puffer seitlich zwischen der Kontaktöffnung 1502 und dem ersten AR-Kontakt 106a bereit. Der Puffer verringert die Wahrscheinlichkeit, dass die Kontaktöffnung 1502 zu nahe an den ersten AR-Kontakt 106a gerät und einen Ableitstrom und/oder einen elektrischen Kurzschluss mit dem ersten AR-Kontakt 106a hervorruft.
  • Nach dem Bilden der GC-Struktur 102 in 17B kann eine BEOL-Region (nicht gezeigt) über der GC-Struktur 102 und der zweiten ILD-Schicht 132b gebildet werden. Für Beispiele solch einer BEOL-Region siehe die BEOL-Region 402 in 4A und 4B.
  • Wenngleich 17A und 17B das Bilden der GC-Struktur 102 mit der GC-Barriere 112 veranschaulichen, kann die GC-Barriere 112 in alternativen Ausführungsformen weggelassen sein. In einigen Ausführungsformen, in welchen die GC-Barriere 112 weggelassen ist, ist die Barriereschicht 1702 in 17A weggelassen. Die Handlungen in 17B fahren dann, wie zuvor beschrieben, ohne die Barriereschicht 1702 fort. In alternativen Ausführungsformen, in welchen die GC-Barriere 112 weggelassen ist, werden die Handlungen in 18A-18C (hier nachstehend beschrieben) anstelle der Handlungen in 17A und 17B durchgeführt. Mit anderen Worten fährt das Verfahren von 9 - 16 zu 18A - 18C fort, während 17A und 17B übersprungen werden.
  • Unter Bezugnahme auf 18A-18C wird eine Reihe an Querschnittsansichten 1800A-1800C von einigen alternativen Ausführungsformen der Reihe an Querschnittsansichten 1700A und 1700B von 17A und 17B bereitgestellt. Die Querschnittsansichten 18A-18C entsprechen der Querschnittsansicht 300B von 3B und veranschaulichen daher das Bilden der IC und der GC-Struktur 102 in 3B. Allerdings kann das Verfahren, das durch die Querschnittsansichten 1800A - 1800C veranschaulicht ist, auch eingesetzt werden, um die IC und/oder die GC-Struktur 102 in einer der 1B, 2A, 2B, 6A und 6B zu bilden.
  • Wie durch die Querschnittsansicht 1800A von 18A veranschaulicht ist, sind eine erste IC-Region I und eine zweite IC-Region II gebildet. Die erste IC-Region I, abzüglich einer ersten Anschlussschicht 1802, wird gemäß den Handlungen gebildet, die in 9 - 16 beschrieben und veranschaulicht sind. Ähnlich wird die zweite IC-Region II gemäß den Handlungen gebildet, die in 9 - 16 beschrieben sind. Allerdings ist die zweite IC-Region II nicht in 9 - 16 veranschaulicht. Die zweite IC-Region II weist eine Umfangsöffnung 1804 in der zweiten ILD-Schicht 132b und der Ätzstoppschicht 134 auf. Ferner erstreckt sich in einigen Ausführungsformen die Umfangsöffnung 1804 in die erste ILD-Schicht 132a hinein aufgrund von Überätzen. Die Umfangsöffnung 1804 kann zum Beispiel mit der Kontaktöffnung 1502 in 15 und 16 gebildet werden.
  • Wie auch durch die Querschnittsansicht 1800A von 18A veranschaulicht ist, wird die erste Anschlussschicht 1802 aus Keimmaterial in der Kontaktöffnung 1502 (siehe z. B. 16) und der Durchkontaktierungsöffnung 1402 (siehe z. B. 16) gezüchtet. Die erste Anschlussschicht 1802 wird durch Füllen der Kontaktöffnung 1502 und der Durchkontaktierungsöffnung 1402 gezüchtet, da der zweite AR-Kontakt 106b und/oder die erste Gate-Elektrode 104a als Keimmaterial dienen. Die erste Anschlussschicht 1802 wird jedoch nicht durch Füllen der Umfangsöffnung 1804 gezüchtet, da die Umfangsöffnung 1804 frei von Keimmaterial ist.
  • In einigen Ausführungsformen ist das Keimmaterial, aus welchem die erste Anschlussschicht 1802 gezüchtet wird, Metall und/oder ist die Umfangsöffnung 1804 frei von Metall. In einigen Ausführungsformen weisen die erste Gate-Elektrode 104a und der zweite AR-Kontakt 106b verschiedene Keimmaterialien auf und/oder führen die verschiedenen Keimmaterialien jeweils zu verschiedenen Züchtungsraten an der ersten Gate-Elektrode 104a und dem zweiten AR-Kontakt 106b. In einigen Ausführungsformen weist die erste Anschlussschicht 1802 aufgrund verschiedener Züchtungsraten bei der Überlagerung der ersten Gate-Elektrode 104a und des zweiten AR-Kontakts 106b jeweils verschiedene Dicken auf. Der zweite AR-Kontakt 106b und/oder die erste Gate-Elektrode 104a können zum Beispiel Wolfram und/oder Kobalt sein oder aufweisen, während die erste Anschlussschicht 1802 zum Beispiel Wolfram sein oder aufweisen kann. Es sind jedoch andere Materialien möglich. Ferner kann die erste Anschlussschicht 1802 zum Beispiel Kobalt, Ruthenium, Wolfram, ein anderes geeignetes Metall oder eine beliebige Kombination des Vorherigen sein oder umfassen.
  • Die erste Anschlussschicht 1802 kann zum Beispiel durch CVD, elektrolose Plattierung, Elektroplattierung oder einen anderen geeigneten Züchtungsprozess gezüchtet werden. In einigen Ausführungsformen ist oder umfasst die erste Anschlussschicht 1802 Wolfram und/oder wird durch CVD unter Verwendung von Vorläufern von Wasserstoff (z. B. H2) und Wolframfluorid (z. B. WF6) gezüchtet. Es sind jedoch andere Materialien, Züchtungsprozesse und Vorläufer für die erste Anschlussschicht 1802 möglich.
  • Wie durch die Querschnittsansicht 1800B von 18B veranschaulicht ist, wird eine Barriereschicht 1806 derart abgeschieden, dass sie die erste Anschlussschicht 1802 und die zweite ILD-Schicht 132b bedeckt. Ferner wird die Barriereschicht 1806 so abgeschieden, dass sie die Umfangsöffnung 1804 teilweise füllt und auskleidet (siehe z. B. 18A). Die Barriereschicht 1806 kann zum Beispiel Titan, Titannitrid, Tantalnitrid, ein anderes geeignetes Barrierematerial oder eine beliebige Kombination des Vorherigen sein oder umfassen. Die Abscheidung der Barriereschicht 1806 kann zum Beispiel CVD, PVD, ein anderer geeigneter Abscheidungsprozess oder eine beliebige Kombination des Vorherigen sein oder umfassen.
  • Wie auch durch die Querschnittsansicht 1800B von 18B veranschaulicht ist, wird eine zweite Anschlussschicht 1808 derart abgeschieden, dass sie die Barriereschicht 1806 bedeckt und einen Rest der Umfangsöffnung 1804 füllt. Die Barriereschicht 1806 blockiert ein Diffundieren von Material durch die Barriereschicht 1806 von der zweiten Anschlussschicht 1808 und/oder durch die Barriereschicht 1806 zu der zweiten Anschlussschicht 1808. Ferner dient in einigen Ausführungsformen die Barriereschicht 1806 als eine Adhäsionsschicht für die zweite Anschlussschicht 1808. Die zweite Anschlussschicht 1808 kann zum Beispiel Kobalt, Ruthenium, Wolfram, ein anderes geeignetes Metall oder eine beliebige Kombination des Vorherigen sein oder umfassen. Die Abscheidung der zweiten Anschlussschicht 1808 kann zum Beispiel CVD, PVD, elektrolose Plattierung, Elektroplattierung, einen anderen geeigneten Abscheidungsprozess oder eine beliebige Kombination des Vorherigen sein oder umfassen.
  • Wie durch die Querschnittsansicht 1800C von 18C veranschaulicht ist, wird eine Planarisierung in die erste und die zweite Anschlussschicht 1802, 1808, die Barriereschicht 1806 und die zweite ILD-Schicht 132b durchgeführt, bis die oberen Flächen davon ungefähr auf einer gleichen Höhe liegen. Die Planarisierung kann zum Beispiel ein CMP und/oder ein anderer geeigneter Planarisierungsprozess sein oder umfassen. Die Planarisierung bildet eine GC-Struktur 102 in der Kontaktöffnung 1502 (siehe z. B. 16) und der Durchkontaktierungsöffnung 1402 (siehe z. B. 16) und bildet ferner eine Umfangsstruktur 1810 in der Umfangsöffnung 1804 (siehe z. B. 18A). Die Umfangsstruktur 1810 weist einen Umfangsanschluss 1812 und eine Umfangsbarriere 1814, die eine Unterseite des Umfangsanschlusses 1812 umschließt, auf. Die Umfangsstruktur 1810 kann zum Beispiel eine Testlinie, eine Dummy-Struktur oder eine andere geeignete Struktur sein.
  • Wenngleich 9 - 16, 17A, 17B und 18A - 18C unter Bezugnahme auf verschiedene Ausführungsformen eines Verfahrens beschrieben sind, wird zu erkennen sein, dass die Strukturen, die in 9 - 16, 17A, 17B und 18A - 18C gezeigt sind, nicht auf das Verfahren beschränkt sind, sondern viel mehr unabhängig von dem Verfahren sein können. Wenngleich 9 - 16, 17A, 17B und 18A - 18C als eine Reihe von Handlungen beschrieben sind, wird zu erkennen sein, dass die Reihenfolge der Handlungen in anderen Ausführungsformen geändert sein kann. Wenngleich 9 - 16, 17A, 17B und 18A - 18C eine spezifische Gruppe von Handlungen veranschaulichen und beschreiben, können einige Handlungen, die veranschaulicht und/oder beschrieben sind, in anderen Ausführungsformen weggelassen sein. Ferner können Handlungen, die nicht veranschaulicht und/oder beschrieben sind, in anderen Ausführungsformen enthalten sein.
  • Zum Beispiel können Ausführungsformen des Verfahrens, die durch 9 - 16, 17A und 17B veranschaulicht sind, abgeändert werden, um die GC-Struktur 102 (siehe z. B. 17B) und die zweite AR-Struktur 106b (siehe z. B. 17B) so zu bilden, wie ihre Gegenstücke in 1C oder 1D sind. In einigen Ausführungsformen, in welchen das Verfahren für 1C abgeändert wird, ist der zweite AR-Kontakt 106b nicht in 11 und 12 gebildet. Stattdessen wird ein fünftes Ätzen zwischen 16 und 17A durchgeführt, um eine AR-Öffnung zu bilden. Ferner fährt das Verfahren gemäß den Handlungen in 17A und 17B fort, um den zweiten AR-Kontakt 106b in der AR-Öffnung zu bilden. In einigen Ausführungsformen, in welchen das Verfahren für 1D abgeändert wird, wird derselbe Prozess, der für 1C verwendet wird, eingesetzt, mit der Ausnahme, dass die Barriereschicht 1702 in 17A weggelassen ist.
  • Unter Bezugnahme auf 19 wird ein Blockdiagramm 1900 von einigen Ausführungsformen des Verfahrens von 9 - 16, 17A, 17B und 18A - 18C bereitgestellt.
  • Bei 1902 wird eine Halbleitervorrichtung gebildet, die über einem Substrat liegt und von einer ersten ILD-Schicht bedeckt wird, wobei das Substrat mindestens teilweise eine aktive Region der Halbleitervorrichtung definiert. Siehe zum Beispiel 9 und 10.
  • Bei 1904 wird ein Kontakt einer aktiven Region (AR) gebildet, der sich durch die erste ILD-Schicht zu einer Source-/Drain-Region der Halbleitervorrichtung an einem Ort benachbart zu einer Gate-Elektrode der Halbleitervorrichtung erstreckt. Siehe zum Beispiel 11 und 12. In alternativen Ausführungsformen erstreckt sich der AR-Kontakt zu einer Körperkontaktregion des Halbleiters oder zu einem anderen Ort in der aktiven Region der Halbleitervorrichtung.
  • Bei 1906 wird eine Ätzstoppschicht über der ersten ILD-Schicht abgeschieden. Siehe zum Beispiel 13.
  • Bei 1908 wird eine zweite ILD-Schicht über der Ätzstoppschicht abgeschieden. Siehe zum Beispiel 13.
  • Bei 1910 wird ein erstes Ätzen in die erste und die zweite ILD-Schicht durchgeführt, um eine Durchkontaktierungsöffnung zu bilden, die sich zu der Gate-Elektrode erstreckt. Siehe zum Beispiel 14.
  • Bei 1912 wird ein zweites Ätzen in die zweite ILD-Schicht durchgeführt, um eine Kontaktöffnung zu bilden, die über dem AR-Kontakt liegt und sich mit der Durchkontaktierungsöffnung überlappt, wobei das zweite Ätzen auf der Ätzstoppschicht stoppt. Siehe zum Beispiel 15.
  • Bei 1913 wird ein drittes Ätzen in die Ätzstoppschicht durchgeführt, um die Kontaktöffnung zu dem AR-Kontakt zu erweitern und eine Unterseite der Kontaktöffnung von dem AR-Kontakt nach unten zu der Durchkontaktierungsöffnung abzuschrägen. Siehe zum Beispiel 16.
  • Bei 1914 wird eine Anschlussschicht in der Kontaktöffnung und der Durchkontaktierungsöffnung abgeschieden. Siehe zum Beispiel 17A oder 18A.
  • Bei 1916 wird eine Planarisierung in die Anschlussschicht und die zweite ILD-Schicht durchgeführt, um eine GC-Struktur zu bilden, die über der Gate-Elektrode liegt und diese elektrisch mit dem AR-Kontakt koppelt. Siehe zum Beispiel 17B oder 18C. Die GC-Struktur definiert einen Gate-Kontakt und eine Gate-Durchkontaktierung, die den Gate-Kontakt von der Gate-Elektrode trennt. Da die Unterseite der Kontaktöffnung abgeschrägt ist, ist ein Aspektverhältnis der Durchkontaktierungsöffnung gering. Dies verringert die Wahrscheinlichkeit oder verhindert anderweitig, dass sich Spalte und/oder Lücken in der Anschlussschicht während dem Abscheiden bilden. Solche Spalte und/oder Lücken würden einen Widerstand von dem Gate-Kontakt zu der Gate-Elektrode erhöhen, was den Stromverbrauch erhöht und möglicherweise Betriebsparameter aus dem Wertebereich heraus verschiebt. Somit kann das Abschrägen der Unterseite der Kontaktöffnung Erträge verbessern und/oder den Stromverbrauch verringern.
  • Bei 1918 wird eine Back-end-of-line-Region (BEOL-Region) gebildet, die über der GC-Struktur liegt. Nichteinschränkende Beispiele solch einer BEOL-Region sind durch Bezugnahme auf die BEOL-Region 402 in 4A und 4B zu finden.
  • Wenngleich das Blockdiagramm 1900 von 19 hierin als eine Reihe von Handlungen oder Ereignissen veranschaulicht und beschrieben ist, wird zu erkennen sein, dass die veranschaulichte Reihenfolge solcher Handlungen oder Ereignisse nicht in einem einschränkenden Sinne zu interpretieren ist. Zum Beispiel können einige Handlungen in verschiedenen Reihenfolgen und/oder gleichzeitig mit anderen Handlungen oder Ereignissen neben den hierin veranschaulichten und/oder beschriebenen erfolgen. Ferner ist es möglich, dass nicht alle veranschaulichten Handlungen erforderlich sind, um einen oder mehrere Aspekte oder Ausführungsformen der Beschreibung hierin zu implementieren, und können eine oder mehrere der hierin dargestellten Handlungen in einer oder mehreren separaten Handlungen und/oder Phasen ausgeführt werden.
  • In einigen Ausführungsformen stellt die vorliegende Offenbarung eine IC bereit, die Folgendes aufweist: ein Substrat; eine Source-/Drain-Region, die über einer Oberseite des Substrats liegt und in dieser eingesetzt ist; eine Gate-Elektrode, die an die Source-/Drain-Region über dem Substrat grenzt; ein Kontakt erster Ebene, der über der Source-/Drain-Region liegt und elektrisch mit dieser gekoppelt ist; ein Kontakt zweiter Ebene, der über dem Kontakt erster Ebene und der Gate-Elektrode liegt; und eine Gate-Durchkontaktierung, die sich von dem Kontakt zweiter Ebene zu der Gate-Elektrode erstreckt, wobei eine untere Fläche des Kontakts zweiter Ebene von dem Kontakt erster Ebene nach unten zu der Gate-Durchkontaktierung abgeschrägt ist. In einigen Ausführungsformen weist die IC ferner einen Metallanschluss und eine Metallbarriere auf, die beide den Kontakt zweiter Ebene und die Gate-Durchkontaktierung definieren, wobei die Metallbarriere eine Unterseite des Metallanschlusses umschließt und den Metallanschluss von dem Kontakt erster Ebene trennt. In einigen Ausführungsformen weist die IC ferner Folgendes auf: eine erste ILD-Schicht über dem Substrat; eine zweite ILD-Schicht über der ersten ILD-Schicht; und eine Ätzstoppschicht zwischen der ersten und der zweiten ILD-Schicht und diese direkt berührend jeweils an einer unteren dielektrischen Grenzfläche und einer oberen dielektrischen Grenzfläche, wobei sich der Kontakt erster Ebene und der Kontakt zweiter Ebene direkt an einer Zwischenkontaktgrenzfläche berühren, die bezüglich der unteren dielektrischen Grenzfläche ausgespart ist. In einigen Ausführungsformen weist die IC ferner einen ersten Anschluss auf, der sowohl den Kontakt zweiter Ebene als auch die Gate-Durchkontaktierung definiert und im Wesentlichen aus einem einzigen Material besteht, wobei der Kontakt erster Ebene einen zweiten Anschluss und eine Diffusionsbarriere, die sich um eine Unterseite des zweiten Anschlusses wickelt, aufweist, und wobei der erste Anschluss über einer oberen Fläche der Diffusionsbarriere und einer oberen Fläche des zweiten Anschlusses liegt und diese direkt berührt. In einigen Ausführungsformen ist die untere Fläche des Kontakts zweiter Ebene von dem Kontakt erster Ebene nach unten zu der Gate-Durchkontaktierung in einer ersten Richtung abgeschrägt, wobei der Kontakt zweiter Ebene seitlich in der ersten Richtung verlängert ist, und wobei der Kontakt erster Ebene seitlich in einer zweiten Richtung quer zu der ersten Richtung verlängert ist. In einigen Ausführungsformen befindet sich die untere Fläche des Kontakts zweiter Ebene in einem Winkel von ungefähr 30 - 70 Grad bezüglich einer Seitenwand der Gate-Durchkontaktierung. In einigen Ausführungsformen ist eine obere Fläche des Kontakts zweiter Ebene vollständig von Durchkontaktierungen und Drähten über dem Kontakt zweiter Ebene beabstandet.
  • In einigen Ausführungsformen stellt die vorliegende Offenbarung eine andere IC bereit, die Folgendes aufweist: ein Substrat; eine Vorrichtung, die über dem Substrat liegt und eine Gate-Elektrode aufweist, wobei das Substrat mindestens teilweise eine AR der Vorrichtung definiert; einen AR-Kontakt, der sich entlang einer Seitenwand der Gate-Elektrode von der AR zu einer oberen Fläche des AR-Kontakts, die sich über eine obere Fläche der Gate-Elektrode erhebt, erstreckt; und eine GC-Struktur, die über dem AR-Kontakt und der Gate-Elektrode liegt, wobei sich die GC-Struktur von der oberen Fläche der Gate-Elektrode zu der oberen Fläche des AR-Kontakts erstreckt, wobei die GC-Struktur eine Gate-Durchkontaktierung definiert, die sich zu der oberen Fläche der Gate-Elektrode erstreckt und von dem AR-Kontakt beabstandet ist, und wobei die GC-Struktur eine Breite aufweist, die von einer Oberseite der Gate-Durchkontaktierung zu der oberen Fläche des AR-Kontakts kontinuierlich zunimmt. In einigen Ausführungsformen weist die Vorrichtung eine Source-/Drain-Region auf, die an die Gate-Elektrode in der AR grenzt, wobei sich der AR-Kontakt von der Source-/Drain-Region zu der oberen Fläche des AR-Kontakts erstreckt. In einigen Ausführungsformen nimmt die Breite der GC-Struktur von der oberen Fläche der Gate-Elektrode zu der Oberseite der Gate-Durchkontaktierung mit einer ersten Rate zu, wobei die Breite der GC-Struktur von der Oberseite der Gate-Durchkontaktierung zu der oberen Fläche des AR-Kontakts mit einer zweiten Rate, die höher als die erste Rate ist, zunimmt. In einigen Ausführungsformen ist eine untere Fläche der GC-Struktur von einer ersten Seitenwand der Gate-Durchkontaktierung zu einer zweiten Seitenwand des AR-Kontakts nach oben abgewinkelt. In einigen Ausführungsformen weist die IC ferner Folgendes auf: eine ILD-Schicht, die unter der GC-Struktur liegt und eine untere Fläche der GC-Struktur direkt berührt; und eine Ätzstoppschicht, die über der ILD-Schicht liegt und diese direkt berührt, wobei die Ätzstoppschicht die GC-Struktur seitlich berührt und von dem AR-Kontakt beabstandet ist. In einigen Ausführungsformen weist eine obere Fläche der GC-Struktur ein erstes Material über einem Großteil der oberen Fläche auf, während eine untere Fläche der GC-Struktur direkt den AR-Kontakt an einer Grenzfläche berührt, und wobei die untere Fläche der GC-Struktur das erste Material an der Grenzfläche aufweist. In einigen Ausführungsformen weist die GC-Struktur Folgendes auf: einen Metallanschluss; eine Metallauskleidungsschicht auf Seitenwänden des Metallanschlusses und auf einer unteren Fläche des Metallanschlusses, wobei die Metallauskleidungsschicht den Metallanschluss von dem AR-Kontakt und der Gate-Elektrode trennt.
  • In einigen Ausführungsformen stellt die vorliegende Offenbarung ein Verfahren zum Bilden einer IC bereit, wobei das Verfahren Folgendes umfasst: Bilden eines AR-Kontakts, der sich durch eine erste ILD-Schicht zu einer AR einer Halbleitervorrichtung erstreckt; derartiges Abscheiden einer Ätzstoppschicht (ESL) und einer zweiten ILD-Schicht, dass sie die erste ILD-Schicht und den AR-Kontakt bedecken, wobei sich die ESL zwischen der ersten und der zweiten ILD-Schicht befindet; Durchführen eines ersten Ätzens in die ESL und die erste und die zweite ILD-Schicht, um eine erste Öffnung zu bilden, die eine Gate-Elektrode der Halbleitervorrichtung freilegt; Durchführen eines zweiten Ätzens, das in die zweite ILD-Schicht erfolgt und das auf der ESL stoppt, um eine zweite Öffnung zu bilden, die über dem AR-Kontakt liegt und sich mit der ersten Öffnung überlappt; Durchführen eines dritten Ätzens in die ESL durch die zweite Öffnung, um den AR-Kontakt freizulegen, wobei das dritte Ätzen eine Unterseite der zweiten Öffnung von dem AR-Kontakt nach unten zu der ersten Öffnung abschrägt; und Bilden einer GC-Struktur, die die erste und die zweite Öffnung füllt und die Gate-Elektrode elektrisch mit dem AR-Kontakt koppelt. In einigen Ausführungsformen umfasst das Verfahren ferner das Durchführen eines Reinigungsprozesses zwischen dem Durchführen des dritten Ätzens und dem Bilden der GC-Struktur, wobei der Reinigungsprozess die Unterseite der zweiten Öffnung weiter von dem AR-Kontakt nach unten zu der ersten Öffnung abschrägt. In einigen Ausführungsformen umfasst das Durchführen des dritten Ätzens Folgendes: Bilden von Plasma aus einer Mischung von Wasserstoffgas und Tetrafluormethangas; und Aufbringen des Plasmas auf die ESL. In einigen Ausführungsformen weist das Wasserstoffgas eine erste Strömungsrate während dem dritten Ätzen auf, wobei das Tetrafluormethangas eine zweite Strömungsrate während dem dritten Ätzen aufweist, und wobei die erste Strömungsrate ungefähr 10 Mal höher als die zweite Strömungsrate ist. In einigen Ausführungsformen umfasst das Bilden der GC-Struktur Folgendes: derartiges Abscheiden einer Barriereschicht, dass sie die erste und die zweite Öffnung auskleidet und teilweise füllt; derartiges Abscheiden einer Anschlussschicht, dass sie einen Rest der ersten und der zweiten Öffnung über der Barriereschicht füllt; und Durchführen einer Planarisierung in die Barriereschicht und die Anschlussschicht, bis oberen Flächen jeweils der Barriereschicht und der Anschlussschicht ungefähr auf einer Höhe mit einer oberen Fläche der zweiten ILD-Schicht liegen. In einigen Ausführungsformen umfasst das Bilden der GC-Struktur Folgendes: selektives Züchten einer Anschlussschicht, die die erste und die zweite Öffnung füllt, aus Keimmaterial der Gate-Elektrode und Keimmaterial des AR-Kontakts, wobei die Anschlussschicht direkt Seitenwände der zweiten ILD-Schicht in der ersten und der zweiten Öffnung berührt; und Durchführen einer Planarisierung in die Anschlussschicht, um eine obere Fläche der Anschlussschicht auf eine Höhe mit einer oberen Fläche der zweiten ILD-Schicht zu bringen.

Claims (20)

  1. Integrierte Schaltung (IC), die Folgendes aufweist: ein Substrat (118); eine Source-/Drain-Region (122), die über einer Oberseite des Substrats (118) liegt und in diese eingesetzt ist; eine Gate-Elektrode (104, 104a/104), die an die Source-/Drain-Region (122) über dem Substrat (118) angrenzt; ein Kontakt erster Ebene (106, 106b/106), der über der Source-/Drain-Region (122) liegt und elektrisch mit dieser gekoppelt ist; ein Kontakt zweiter Ebene (116), der über dem Kontakt erster Ebene (106, 106b/106) und der Gate-Elektrode (104, 104a/104) liegt; und eine Gate-Durchkontaktierung (114), die sich von dem Kontakt zweiter Ebene (116) zu der Gate-Elektrode (104, 104a/104) erstreckt, wobei eine untere Fläche (102b) des Kontakts zweiter Ebene (116) von dem Kontakt erster Ebene (106, 106b/106) nach unten zu der Gate-Durchkontaktierung (114) abgeschrägt ist.
  2. IC nach Anspruch 1, die ferner einen Metallanschluss (110) und eine Metallbarriere (112) aufweist, die beide den Kontakt zweiter Ebene (116) und die Gate-Durchkontaktierung (114) definieren, wobei die Metallbarriere (112) eine Unterseite des Metallanschlusses (110) umschließt und den Metallanschluss (110) von dem Kontakt erster Ebene (106, 106b/106) trennt.
  3. IC nach Anspruch 1 oder 2, die ferner Folgendes aufweist: eine erste Zwischenschichtdielektrikumsschicht (ILD-Schicht; 132a, 132), über dem Substrat (118); eine zweite ILD-Schicht (132b, 132) über der ersten ILD-Schicht (132a, 132); und eine Ätzstoppschicht (134) zwischen der ersten (132a, 132) und der zweiten ILD-Schicht (132b, 132) und diese direkt berührend an einer unteren dielektrischen Grenzfläche bzw. einer oberen dielektrischen Grenzfläche, wobei sich der Kontakt erster Ebene (106, 106b/106) und der Kontakt zweiter Ebene (116) direkt an einer Zwischenkontaktgrenzfläche berühren, die bezüglich der unteren dielektrischen Grenzfläche ausgespart ist.
  4. IC nach einem der vorherigen Ansprüche, die ferner einen ersten Anschluss (102/110) aufweist, der sowohl den Kontakt zweiter Ebene (116) als auch die Gate-Durchkontaktierung (114) definiert und im Wesentlichen aus einem einzigen Material besteht, wobei der Kontakt erster Ebene (106, 106b/106) einen zweiten Anschluss (128) und eine Diffusionsbarriere (130), die sich um eine Unterseite des zweiten Anschlusses (128) wickelt, aufweist, und wobei der erste Anschluss (102/110) über einer oberen Fläche der Diffusionsbarriere (130) und einer oberen Fläche des zweiten Anschlusses (128) liegt und diese direkt berührt.
  5. IC nach einem der vorherigen Ansprüche, wobei die untere Fläche (102b) des Kontakts zweiter Ebene (116) von dem Kontakt erster Ebene (106, 106b/106) nach unten zu der Gate-Durchkontaktierung (114) in einer ersten Richtung abgeschrägt ist, wobei der Kontakt zweiter Ebene (116) seitlich in der ersten Richtung verlängert ist, und wobei der Kontakt erster Ebene (106, 106b/106) seitlich in einer zweiten Richtung quer zu der ersten Richtung verlängert ist.
  6. IC nach einem der vorherigen Ansprüche, wobei die untere Fläche (102b) des Kontakts zweiter Ebene (116) in einem Winkel (α) von ungefähr 30 - 70 Grad bezüglich einer Seitenwand der Gate-Durchkontaktierung (114) liegt.
  7. IC nach einem der vorherigen Ansprüche, wobei eine obere Fläche des Kontakts zweiter Ebene (116) vollständig von Durchkontaktierungen und Drähten über dem Kontakt zweiter Ebene (116) beabstandet ist.
  8. Integrierte Schaltung (IC), die Folgendes aufweist: ein Substrat (118); eine Vorrichtung (124), die über dem Substrat (118) liegt und eine Gate-Elektrode (104, 104a/104) aufweist, wobei das Substrat (118) mindestens teilweise eine aktive Region (AR; 126), der Vorrichtung (124) definiert; einen AR-Kontakt (106, 106b/106), der sich entlang einer Seitenwand der Gate-Elektrode (104, 104a/104) von der AR (126) zu einer oberen Fläche des AR-Kontakts (106, 106b/106) erstreckt, die sich über eine obere Fläche der Gate-Elektrode (104, 104a/104) erhebt; und eine Gate-zu-Kontakt-Struktur (GC-Struktur; 102, 102/110), die über dem AR-Kontakt (106, 106b/106) und der Gate-Elektrode (104, 104a/104) liegt, wobei sich die GC-Struktur (102,102/110) von der oberen Fläche der Gate-Elektrode (104, 104a/104) zu der oberen Fläche des AR-Kontakts (106, 106b/106) erstreckt, wobei die GC-Struktur (102, 102/110) eine Gate-Durchkontaktierung (114) definiert, die sich zu der oberen Fläche der Gate-Elektrode (104, 104a/104) erstreckt und von dem AR-Kontakt (106, 106b/106) beabstandet ist und wobei die GC-Struktur (102, 102/110) eine Breite aufweist, die von einer Oberseite der Gate-Durchkontaktierung (114) zu der oberen Fläche des AR-Kontakts (106, 106b/106) kontinuierlich zunimmt.
  9. IC nach Anspruch 8, wobei die Vorrichtung (124) eine Source-/Drain-Region (122) aufweist, die an die Gate-Elektrode (104, 104a/104) in der AR (126) grenzt und wobei sich der AR-Kontakt (106, 106b/106) von der Source-/Drain-Region (122) zu der oberen Fläche des AR-Kontakts (106, 106b/106) erstreckt.
  10. IC nach Anspruch 8 oder 9, wobei die Breite der GC-Struktur (102,102/110) von der oberen Fläche der Gate-Elektrode (104, 104a/104) zu der Oberseite der Gate-Durchkontaktierung (114) mit einer ersten Rate zunimmt und wobei die Breite der GC-Struktur (102,102/110) von der Oberseite der Gate-Durchkontaktierung (114) zu der oberen Fläche des AR-Kontakts (106, 106b/106) mit einer zweiten Rate, die höher als die erste Rate ist, zunimmt.
  11. IC nach einem der Ansprüche 8 bis 10, wobei eine untere Fläche (102b) der GC-Struktur (102,102/110) von einer ersten Seitenwand der Gate-Durchkontaktierung (114) zu einer zweiten Seitenwand des AR-Kontakts (106, 106b/106) nach oben abgewinkelt ist.
  12. IC nach einem der Ansprüche 8 bis 11, die ferner Folgendes aufweist: eine Zwischenschichtdielektrikumsschicht (ILD-Schicht; 132a, 132), die unter der GC-Struktur (102,102/110) liegt und direkt eine untere Fläche (102b) der GC-Struktur (102, 102/110) berührt; und eine Ätzstoppschicht (134), die über der ILD-Schicht (132a, 132) liegt und diese direkt berührt, wobei die Ätzstoppschicht (134) die GC-Struktur (102,102/110) seitlich berührt und von dem AR-Kontakt (106, 106b/106) beabstandet ist.
  13. IC nach einem der Ansprüche 8 bis 12, wobei eine obere Fläche der GC-Struktur (102, 102/110) ein erstes Material über einem Großteil der oberen Fläche aufweist, während eine untere Fläche der GC-Struktur (102,102/110) direkt den AR-Kontakt (106, 106b/106) an einer Grenzfläche berührt, und wobei die untere Fläche der GC-Struktur (102,102/110) das erste Material an der Grenzfläche aufweist.
  14. IC nach einem der Ansprüche 8 bis 13, wobei die GC-Struktur (102) Folgendes aufweist: einen Metallanschluss (110); und eine Metallauskleidungsschicht (112) auf Seitenwänden des Metallanschlusses (110) und auf einer unteren Fläche des Metallanschlusses (110), wobei die Metallauskleidungsschicht (112) den Metallanschluss (110) von dem AR-Kontakt (106, 106b/106) und der Gate-Elektrode (104, 104a/104) trennt.
  15. Verfahren zum Bilden einer integrierten Schaltung (IC) umfassend: Bilden eines Kontakts einer aktiven Region (AR-Kontakt; 106, 106b/106), der sich durch eine erste Zwischenschichtdielektrikumsschicht (ILD-Schicht; 132a), zu einer AR (126) einer Halbleitervorrichtung (124) erstreckt; Abscheiden (1906) einer Ätzstoppschicht (ESL; 134), und einer zweiten ILD-Schicht (132b), so dass sie die erste ILD-Schicht (132a) und den AR-Kontakt (106, 106b/106) bedecken, wobei sich die ESL (134) zwischen der ersten (132a) und der zweiten ILD-Schicht (132b) befindet; Durchführen eines ersten Ätzens in die ESL (134) und die erste (132a) und die zweite ILD-Schicht (132b), um eine erste Öffnung (1402) zu bilden, die eine Gate-Elektrode (104, 104a/104) der Halbleitervorrichtung (124) freilegt; Durchführen (1912) eines zweiten Ätzens, das in die zweite ILD-Schicht (132b) erfolgt und auf der ESL (134) stoppt, um eine zweite Öffnung (1502) zu bilden, die über dem AR-Kontakt (106, 106b/106) liegt und sich mit der ersten Öffnung (1402) überlappt; Durchführen (1914) eines dritten Ätzens in die ESL (134) durch die zweite Öffnung (1502), um den AR-Kontakt (106, 106b/106) freizulegen, wobei das dritte Ätzen eine Unterseite der zweiten Öffnung (1502) von dem AR-Kontakt (106, 106b/106) nach unten zu der ersten Öffnung (1402) abschrägt; und Bilden einer Gate-zu-Kontakt-Struktur (GC-Struktur; 102, 102/110), die die erste (1402) und die zweite Öffnung (1502) bedeckt und die Gate-Elektrode (104, 104a/104) elektrisch mit dem AR-Kontakt (106, 106b/106) koppelt.
  16. Verfahren nach Anspruch 15, ferner umfassend: Durchführen eines Reinigungsprozesses zwischen dem Durchführen (1914) des dritten Ätzens und dem Bilden der GC-Struktur (102,102/110), wobei der Reinigungsprozess die Unterseite der zweiten Öffnung (1502) weiter von dem AR-Kontakt (106, 106b/106) nach unten zu der ersten Öffnung (1402) abschrägt.
  17. Verfahren nach Anspruch 15 oder 16, wobei das Durchführen (1914) des dritten Ätzens Folgendes umfasst: Bilden von Plasma aus einer Mischung von Wasserstoffgas und Tetrafluormethangas; und Aufbringen des Plasmas auf die ESL (134).
  18. Verfahren nach Anspruch 17, wobei das Wasserstoffgas eine erste Strömungsrate während des dritten Ätzens aufweist, wobei das Tetrafluormethangas eine zweite Strömungsrate während des dritten Ätzens aufweist, und wobei die erste Strömungsrate ungefähr 10 Mal höher als die zweite Strömungsrate ist.
  19. Verfahren nach einem der Ansprüche 15 bis 18, wobei das Bilden der GC-Struktur (102) Folgendes umfasst: Abscheiden einer Barriereschicht (1702), so dass sie die erste (1402) und die zweite Öffnung (1502) auskleidet und teilweise füllt; Abscheiden (1916) einer Anschlussschicht (1704), so dass sie einen Rest der ersten (1402) und der zweiten Öffnung (1502) über der Barriereschicht (1702) füllt; und Durchführen einer Planarisierung in die Barriereschicht (1702) und die Anschlussschicht (1704), bis obere Flächen der Barriereschicht (112) bzw. der Anschlussschicht (110) ungefähr auf einer Höhe mit einer oberen Fläche der zweiten ILD-Schicht (132b) liegen.
  20. Verfahren nach einem der Ansprüche 15 bis 19, wobei das Bilden der GC-Struktur (102, 102/110) Folgendes umfasst: selektives Aufwachsen (1916) einer Anschlussschicht (1802), die die erste (1402) und die zweite Öffnung (1502) füllt, aus Keimmaterial der Gate-Elektrode (104a/104, 104) und Keimmaterial des AR-Kontakts (106, 106b/106), wobei die Anschlussschicht (1802) direkt Seitenwände der zweiten ILD-Schicht (132b) in der ersten (1402) und der zweiten Öffnung (1502) berührt; und Durchführen einer Planarisierung in die Anschlussschicht (1802), um eine obere Fläche der Anschlussschicht (102/110) auf eine Höhe mit einer oberen Fläche der zweiten ILD-Schicht (132b) zu bringen.
DE102020104975.7A 2020-02-21 2020-02-26 Via-first-prozess zum verbinden eines kontakts und einer gate-elektrode Active DE102020104975B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/797,375 2020-02-21
US16/797,375 US11189525B2 (en) 2020-02-21 2020-02-21 Via-first process for connecting a contact and a gate electrode

Publications (2)

Publication Number Publication Date
DE102020104975A1 DE102020104975A1 (de) 2021-08-26
DE102020104975B4 true DE102020104975B4 (de) 2022-01-27

Family

ID=77176102

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020104975.7A Active DE102020104975B4 (de) 2020-02-21 2020-02-26 Via-first-prozess zum verbinden eines kontakts und einer gate-elektrode

Country Status (5)

Country Link
US (3) US11189525B2 (de)
KR (2) KR20210107512A (de)
CN (1) CN113299645A (de)
DE (1) DE102020104975B4 (de)
TW (1) TWI767541B (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
US11393718B2 (en) * 2020-01-30 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US20220093757A1 (en) * 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method
US20220238373A1 (en) 2021-01-27 2022-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Gate contact structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103828026A (zh) 2011-09-15 2014-05-28 国际商业机器公司 具有选择性形成的金属罩的集成电路结构
US20170194211A1 (en) 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US20190067436A1 (en) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159844A (en) * 1998-05-29 2000-12-12 Philips Electronics North America Corp. Fabrication of gate and diffusion contacts in self-aligned contact process
JP2004253730A (ja) * 2003-02-21 2004-09-09 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6881614B2 (en) * 2003-06-20 2005-04-19 Taiwan Semiconductor Manufacturing Company Shared contact for high-density memory cell design
US7037774B1 (en) * 2004-10-21 2006-05-02 Integrated Device Technology, Inc. Self-aligned contact structure and process for forming self-aligned contact structure
US7169676B1 (en) * 2005-05-23 2007-01-30 Advanced Micro Devices, Inc. Semiconductor devices and methods for forming the same including contacting gate to source
JP6257261B2 (ja) 2013-10-21 2018-01-10 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
WO2015059789A1 (ja) * 2013-10-23 2015-04-30 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
KR20160136715A (ko) * 2015-05-20 2016-11-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9947657B2 (en) 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9831155B2 (en) * 2016-03-11 2017-11-28 Nanya Technology Corporation Chip package having tilted through silicon via
KR102285271B1 (ko) * 2017-04-03 2021-08-03 삼성전자주식회사 반도체 장치
US10522468B2 (en) * 2017-07-31 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10636697B2 (en) * 2017-11-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US10522403B2 (en) * 2018-01-11 2019-12-31 Globalfoundries Inc. Middle of the line self-aligned direct pattern contacts

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103828026A (zh) 2011-09-15 2014-05-28 国际商业机器公司 具有选择性形成的金属罩的集成电路结构
US20170194211A1 (en) 2015-12-30 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US20190067436A1 (en) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants

Also Published As

Publication number Publication date
US20210265202A1 (en) 2021-08-26
TWI767541B (zh) 2022-06-11
TW202133286A (zh) 2021-09-01
US20230253244A1 (en) 2023-08-10
CN113299645A (zh) 2021-08-24
DE102020104975A1 (de) 2021-08-26
KR20210107512A (ko) 2021-09-01
KR102630477B1 (ko) 2024-01-29
KR20220130653A (ko) 2022-09-27
US11189525B2 (en) 2021-11-30
US11670544B2 (en) 2023-06-06
US20220093456A1 (en) 2022-03-24

Similar Documents

Publication Publication Date Title
DE102020104975B4 (de) Via-first-prozess zum verbinden eines kontakts und einer gate-elektrode
DE102019200120B4 (de) Schaltungen basierend auf komplementären Feldeffekttransistoren
DE102019106763B4 (de) Verfahren zum ausbilden einer integrierten schaltungsstruktur und integrierte schaltungsstruktur
DE102019205650B4 (de) Struktur für einen Feldeffekttransistor und Verfahren
DE102017111545B4 (de) Implantationen zur herstellung von source-/drain-bereichen für verschiedene transistoren
DE102019126237B4 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102019116395B4 (de) Herstellungsverfahren zum steuern von profilen von ersatz-gates und zugehörige halbleitervorrichtung
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102018115901A1 (de) Dielektrischer Abstandshalter zur Vermeidung von Kurzschlüssen
DE102005022306B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit einem Fin-Feldeffekttransistor (FinFET)
DE102015115939B4 (de) Gestapeltes Bauelement und zugehörige Layoutstruktur
DE102017112820A1 (de) Steckkontakte und Verfahren zu deren Bildung
DE102010037093B4 (de) Halbleitervorrichtung mit vergrabenen Wortleitungen
DE102015117142A1 (de) Kontakte für stark skalierte Transistoren
DE102019103777B4 (de) Verfahren zum Herstellen von Speicherzellen, die durch eine hohlraumfreie dielektrische Struktur getrennt sind, und zugehöriger integrierter Chip
DE102016118956A1 (de) Zweistufige Dummy-Gate-Bildung
DE102019219538A1 (de) Halbleiterbauelement und verfahren zum herstellen desselben
DE102018110839A1 (de) Verfahren zum integrieren eines speichers und einer logik
DE102020113935A1 (de) Dreidimensionale Speichervorrichtung mit einer String-Auswahlleitungs-Gate-Elektrode, die eine Silizidschicht aufweist
DE102014108790B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit Vorrichtungstrennungsstrukturen und Halbleitervorrichtung
DE102022100084A1 (de) Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung
DE102021116207A1 (de) Hohlraum in Metallverschaltungsstruktur
DE102020112203A1 (de) Verfahren zum einbetten planarer fets mit finfets
DE102018211250B4 (de) Finnen-basierte diodenstrukturen mit einem neu ausgerichteten merkmal-layout und deren herstellung
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final