DE102018217684B4 - Verfahren zur Anpassung einer negativen Kapazität in Gate-Elektrodenstrukturen und dazugehörige Halbleitervorrichtung - Google Patents

Verfahren zur Anpassung einer negativen Kapazität in Gate-Elektrodenstrukturen und dazugehörige Halbleitervorrichtung Download PDF

Info

Publication number
DE102018217684B4
DE102018217684B4 DE102018217684.1A DE102018217684A DE102018217684B4 DE 102018217684 B4 DE102018217684 B4 DE 102018217684B4 DE 102018217684 A DE102018217684 A DE 102018217684A DE 102018217684 B4 DE102018217684 B4 DE 102018217684B4
Authority
DE
Germany
Prior art keywords
gate electrode
region
dielectric material
dielectric
electrode structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018217684.1A
Other languages
English (en)
Other versions
DE102018217684A1 (de
Inventor
Rohit Galatage
Steven Bentley
Puneet Harischandra Suvarna
Zoran Krivokapic
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE102018217684A1 publication Critical patent/DE102018217684A1/de
Application granted granted Critical
Publication of DE102018217684B4 publication Critical patent/DE102018217684B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0635Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with bipolar transistors and diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Abstract

Verfahren, mit:
Bilden eines negativen Kondensatorbereichs (330NC) einer Gate-Elektrodenstruktur (330) eines Transistorelements (350), benachbart und kapazitiv gekoppelt zu einem potentialfreien Elektrodenbereich (330F) der Gate-Elektrodenstruktur (330); und
Einstellen einer negativen Kapazität des negativen Kondensatorbereichs (330NC) durch unabhängiges Einstellen einer wirksamen kapazitiven Fläche (330A) eines dielektrischen Materials (337) des negativen Kondensatorbereichs (330NC) und einer Dicke (337T) des dielektrischen Materials (337) durch
Bilden eines oberen Elektrodenmaterials (336) auf Seitenwänden einer Öffnung (330O), die ein unteres Elektrodenmaterial (333, 334) des potentialfreien Elektrodenbereichs (330F) freilegt, wobei das obere Elektrodenmaterial (336) sich bis zu einer vordefinierten Höhe (336R) erstreckt und einen leitenden Abstandshalter (336) bildet; und
Bilden einer Schicht des dielektrischen Materials (337) mit der vorbestimmten Dicke (337T) in der Öffnung (330O).

Description

  • HINTERGRUND
  • 1. GEBIET DER OFFENBARUNG
  • Generell betrifft die vorliegende Offenbarung Techniken und zugehörige Halbleiterbauelemente, in denen Gateelektrodenstrukturen auf der Grundlage eines potentialfreien Gateelektrodenbereichs und eines Elektrodenbereichs der einen negativen Kondensator bildet, hergestellt werden.
  • 2. BESCHREIBUNG DES STANDS DER TECHNIK
  • In den vergangenen Jahrzehnten wurden auf dem Gebiet der Halbleiterbauelemente enorme Fortschritte erzielt, wobei insbesondere aufwendige CMOS (komplementäre Metall-Oxid-Halbleiter) Techniken als Hauptbeitrag zu dieser Entwicklung zu betrachten sind. Bei der CMOS-Technik wird eine große Anzahl an P-Transistoren und N-Transistoren in einem einzigen Halbleiterchip so zusammengefasst, dass nach ihrer funktionalen Verbindung äußerst komplexe Funktionseinheiten, etwa komplexe Steuerschaltungen, Mikrocontroller, CPUs (zentrale Verarbeitungseinheiten) und dergleichen gebildet werden. Die wesentlichen Vorteile im Hinblick auf das Verhalten und die Integrationsdichte stehen im Zusammenhang mit der stetigen Verringerung der Abmessungen von Transistorelementen, etwa der Gatelänge entsprechender Transistorelemente.
  • Obwohl die Verringerung kritischer Abmessungen, insbesondere der Gatelänge der Feldeffekttransistoren zu einer reduzierten Größe der Schaltungselemente und somit zur Förderung der Integrationsdichte beiträgt, kann die geringe Länge eines leitenden Kanals eines Feldeffekttransistors auch zu einer erhöhten Schaltgeschwindigkeit beitragen, wodurch der Betrieb komplexer integrierter Schaltungen mit erhöhten Taktfrequenzen möglich ist. Andererseits ist die ständige Verringerung kritischer Strukturgrößen, etwa der Gatelänge von Feldeffekttransistoren, auch von gewissen Nebeneffekten begleitet, die es zu berücksichtigen gilt, um nicht in unnötigerweise viele der durch die geringeren Transistorabmessungen erreichten Vorteile aufzuheben. Beispielsweise kann bei einer weiteren Reduzierung der Länge eines Kanals eines Feldeffekttransistors seine Fähigkeit des Steuerns des Kanals von einem hochohmigen Zustand in einen niederohmigen Zustand und umgekehrt aufgrund mehrerer entsprechender Effekte beeinträchtigt sein, die auch als „Kurzkanaleffekte“ bezeichnet werden, wobei insbesondere negative Randeffekte eine zunehmende Rolle im Hinblick auf die gesamte Steuerbarkeit des Feldeffekttransistors spielen. Daher werden große Anstrengungen unternommen, um verbesserte Mechanismen bereitzustellen, die eine bessere Steuerung von Halbleiterkanälen ermöglichen, selbst wenn diese mit reduzierter Länge vorgesehen sind, die aktuell bei verfügbaren modernen Halbleitergenerationen 30 nm und deutlich kleiner sein kann, wobei auch dreidimensionale Transistorarchitekturen, etwa FinFETs, zusätzlich eingesetzt werden, um eine bessere Kanalsteuerbarkeit zu erreichen, wobei entsprechende Halbleiterstege eine Breite von 20 nm oder weniger haben können.
  • Beispielsweise wird bei einer gegebenen Gatespannung, d. h., der Spannung zwischen der Gateelektrodenstruktur und dem Sourceanschluss des Transistorelements, die kapazitive Kopplung zwischen der Gateelektrode und dem Kanalgebiet und somit allgemein die Kanalsteuerbarkeit erhöht, wenn die Dicke eines entsprechenden dielektrischen Materials der Gateelektrodenstruktur reduziert wird. Die Verringerung der Dicke eines Gatedielektrikumsmaterials ist jedoch durch die Eigenschaft des Materials begrenzt, einen elektrischen Durchschlag für die gegebenen Gatespannung zu verhindern, und ist auch durch die Fähigkeit begrenzt, dass Leckströme auf einem moderat geringen Niveau gehalten werden. Da standardmäßige Siliziumdioxid basierte dielektrische Materialien nicht mehr für aufwendige Gateelektrodenstrukturen ausreichen, werden sogenannte dielektrische Materialien mit „großer Dielektrizitätskonstante“ typischerweise anstelle oder in Verbindung mit standardmäßigen Siliziumdioxid basierten dielektrischen Materialien eingesetzt, um eine hohe kapazitive Kopplung bei moderater physikalischer Dicke zu erreichen, und damit Leckströme in und durch das Gatedielektrikumsmaterial zu begrenzen. Ein dielektrisches Material mit großer Dielektrizitätskonstante wird typischerweise als ein dielektrisches Material mit einer Dielektrizitätskonstante von mindestens 20 unter typischen Messbedingungen für die Ermittlung dielektrischer Eigenschaften von dünnen Schichten verstanden. Folglich wurde eine Vielzahl aufwendiger Fertigungsschemata in den vergangenen Jahren entwickelt, um aufwendige dielektrische Materialien mit großer Dielektrizitätskonstante in die Gateelektrodenstrukturen komplexer Transistorelemente einzubauen. Beispielsweise werden in einigen Vorgehensweisen zur Herstellung ebener Transistorelemente die dielektrischen Materialien mit großer Dielektrizitätskonstante in Verbindung mit geeigneten Metall enthaltenden Materialschichten, die auch zur Einstellung der Austrittsarbeit und somit der Schwellenspannung der entsprechenden Transistorelemente dienen können, in einer frühen Fertigungsphase hergestellt. In anderen Vorgehensweise werden diese Materialien in einer späteren Fertigungsphase auf der Grundlage einer Platzhalterelektrodenstruktur bereitgestellt, die somit effizient eingesetzt wird, um den Grundaufbau der entsprechenden Transistorelemente zu erhalten und die für die nachfolgende Herstellung der Gateelektrodenstruktur in einer späten Fertigungsphase vor der Ausbildung von Kontaktelementen verwendet werden.
  • Eine weitere Tendenz in modernen integrierten Schaltungen besteht darin, dass kleinere Betriebsspannungen gefordert werden, da eine reduzierte Betriebsspannung typischerweise zu einer geringeren Leistungsaufnahme aufgrund einer Verringerung von statischen Leckströmen und dergleichen führen. Des Weiteren kann eine reduzierte Betriebsspannung im Hinblick auf die Verbindung zu einer externen Leistungsquelle insbesondere für batteriegespeiste Geräte und dergleichen vorteilhaft sein. Andererseits kann für eine vorgegebene physikalische Dicke eines aufwendigen dielektrischen Materials in einer Gateelektrodenstruktur eine entsprechende Verringerung der Versorgungsspannung und somit der Gatespannung zu einer geringeren Schaltgeschwindigkeit und einem reduzierten Durchlassstrom führen, was insbesondere zu einem reduzierten Leistungsverhalten beitragen kann. Obwohl eine Verringerung der Versorgungsspannung grundsätzlich als vorteilhaft im Hinblick auf die Leistungsaufnahme erachtet wird, muss folglich daher ein Kompromiss zwischen der Leistungsaufnahme und dem Leistungsverhalten eines Transistors gemacht werden.
  • Es ist bekannt, dass die Schwellenspannung bzw. Einsetzspannung eines Transistorelements die Gatespannung angibt, die erforderlich ist, um das Verarmungsgebiet im Kanalbereich des entsprechenden Transistorelements zu „füllen“ (für einen Anreicherungstransistor) oder zu „leeren“ (für einen Verarmungstransistor). Für stark skalierte Transistoren ist gegebenenfalls jedoch die Schwellenspannung nicht mehr gut einstellbar, da ein signifikanter Stromfluss bereits erfolgen kann, wenn die Gatespannung unter der Schwellenspannung liegt. Typischerweise wird ein Sub-Schwellenspannungsstrom beobachtet, der grundsätzlich eine exponentielle Abhängigkeit von der Gatespannung zeigt; um den Leckstrom zu minimieren, ist es wünschenswert, das Ansprechen des Drainstroms auf die Gatespannung in dem Sub-Schwellenspannungsgebiet zu maximieren. Daher repräsentiert dieser Verlauf im Bereich unterhalb der Schwellenspannung ein grundlegendes Kriterium für die Einschätzung des Leistungsvermögens eines Transistors. Es sollte ferner beachtet werden, dass in konventionellen MOSFETs die Steigung im Sub-Schwellenspannungsbereich einen fundamentalen thermodynamischen minimalen Wert hat, der nicht weiter reduzierbar ist.
  • Jüngste Entwicklungen bei dielektrischen Materialen mit großer Dielektrizitätskonstante haben gezeigt, dass einige Materialien mit großer Dielektrizitätskonstante auch ferroelektrische Eigenschaften zeigen können. Zusätzlich zu vielen anderen Anwendungen eines ferroelektrischen Materials, etwa für einen nicht-flüchtigen Speicher, zeigen ferroelektrische Materialien auch Eigenschaften einer negativen Kapazität. Bei Integration in eine Transistorgateelektrode kann das gesamte Transistorverhalten aufgrund von Verbesserungen im Durchlassstrom und in der Steigung im Sub-Schwellenspannungsbereich verbessert werden, die sich aus einem inneren Spannungsgewinn an dem intrinsischen Gatekondensator als Folge der Integration des negativen Kondensators ergeben. Insbesondere dieses Phänomen des internen Spannungsgewinns macht es möglich, dass die fundamentale thermische Begrenzung für die Steigung im Sub-Schwellenspannungsbereich überwunden wird.
  • In MOSFETs kann die Gateelektrodenstruktur generell als ein MOS-Kondensator verstanden werden, der eine erste Elektrode in Form des Gatemetalls und eine zweite Elektrode aufweist, die durch die freien Ladungsträger in der Inversionsschicht des Kanals gebildet ist, wobei die Dicke und die Materialzusammensetzung der Gatedielektrika im Wesentlichen die effektive Kapazität für eine gegebene geometrische Konfiguration der Gateelektrodenstruktur bestimmen. Wenn daher eine geeignete Spannung an die Gateelektrode angelegt wird, tritt eine Ladungssammlung in dem Kanalgebiet für einen Anreicherungstransistor auf, wobei dieser Effekt durch die innere Kapazität des Gates bestimmt wird.
  • Wie erläutert, ist eine reduzierte Versorgungsspannung wünschenswert, um die Leistungsaufnahme zu reduzieren. Daher wurde vorgeschlagen, eine negative Kapazität in Reihe zu dem Gatedielektrikumskondensator so zu schalten, dass eine Reihenschaltung der negativen Kapazität und der positiven Gatekapazität erhalten wird. Es wird ein kapazitiver Teiler zwischen dem positiven und dem negativen Kondensator derart erzeugt, dass bei einer speziellen Einstellung von positiven und negativen Kapazitätswerten die Spannung an dem intrinsischen dielektrischen positiven Kondensator höher ist als die Spannung, die an die vollständige Gateelektrode angelegt wird. Dieser Spannungszuwachs hängt wesentlich von der Konfiguration der Reihenschaltung der negativen Kapazität und der positiven Kapazität und den relativen Werten der positiven und der negativen Kapazität ab. Um daher eine negative Kapazität in der Gateelektrodenstruktur einzurichten, wurde vorgeschlagen, ein ferroelektrisches Material auf der Oberseite des klassischen Gatedielektrikums oder der klassischen Gateelektrode auszubilden, wobei die Materialeigenschaften und die Dicke beider Kondensatorschichten in Verbindung mit der gesamten geometrischen Konfiguration wesentlich die beobachtete Wirkung des internen Spannungszuwachsens beeinflussen.
  • Beispielsweise werden in Li et al., Sub-60nm V-swing Negative-Capacitance FinFET with Hysteresis", IEDM 15:620-623, IEEE 2015, ein FIN-FET-Transistor und ein entsprechendes Herstellungsverfahren beschrieben, in denen ein negativer Kondensator in Reihe zu einer schwebenden bzw. potentialfreien bzw. nicht angeschlossenen Gateelektrodenstruktur geschaltet ist. Wenn der negative Kondensator in der Schaltung enthalten ist, wird eine beobachtbare Auslenkung im Sub-Schwellenspannungsbereich deutlich verbessert, gegenüber dem Fall, in welchem die Spannung direkt an die potentialfreie Gateelektrode angelegt wird. Es wird ferner gezeigt, dass eine Hysterese des Durchlassstroms gegenüber der Gatespannung vermieden werden kann, indem der Wert der negativen Kapazität in geeigneter Weise ausgewählt wird. Obwohl dies andeutet, dass deutliche Verbesserungen im Hinblick auf das gesamte Transistorleistungsvermögen möglich sind im Hinblick auf die Verringerung der Auslenkung im Sub-Schwellenspannungsbereich, im Hinblick auf den Durchlassstrom und dergleichen, sind dennoch, wie zuvor beschrieben ist, geeignete Anpassungen der gesamten negativen Kapazität in Bezug auf die gesamte positive Gatekapazität ein wichtiger aber noch unberücksichtigter Aspekt, um insgesamt ein verbessertes Transistorleistungsvermögen zu erreichen.
  • Insbesondere die Anpassung der negativen Kapazität an die positive Kapazität in Bezug auf eine typische Gateelektrodenstruktur, die dann als ein potentialfreies Gate verwendet wird, erscheint als eine sehr herausfordernde Aufgabe, da die Dielektrizitätskonstante typischer ferroelektrischer Materialien hoch ist, während der verfügbare Platz in modernen CMOS-Gateintegrationen sehr begrenzt ist, wodurch sehr dünne Schichten erforderlich sind, um in einer größenreduzierten Gateelektrode eingepasst zu werden. Folglich führen einfache Integrationsschemata zu unerwünschten Kapazitätsverhältnissen, um den gewünschten Spannungszuwachs für das Verbessern des Leistungsvermögens zu erreichen.
  • Die Druckschrift US 2006 / 0 038 242 A1 beschreibt ein Transistorelement, in welchem ein ferroelektrisches Material als Dielektrikum einer Gate-Elektrode eingesetzt wird, wodurch eine kapazitive Kopplung zweier Elektrodenbereiche entsteht.
  • In PARK, J. H. [et al.]: Sub-kT/q Subthreshold-Slope Using Negative Capacitance in Low Temperature Polycrystalline-Silicon Thin-Film Transistor. Sci Rep, Vol. 6, 2016, S. 24734. DOI: 10.1038/srep24734 wird die Anwendung einer negativen Kapazität in einem Dünnschichttransistor beschrieben.
  • Im Hinblick auf die zuvor beschriebene Situation betrifft die vorliegende Offenbarung Herstellungstechniken für ein Halbleiterbauelement, wobei ein negativer Kondensator in einer Gateelektrodenstruktur eingebaut wird, wobei die Wirkungen eines oder mehrerer der oben erkannten Probleme vermieden oder zumindest reduziert werden.
  • ÜBERBLICK ÜBER DIE OFFENBARUNG
  • Die zuvor angegebene Aufgabe wird in einem Aspekt gelöst durch ein Verfahren mit den Merkmalen des Anspruchs 1.
  • Des Weiteren wird die Aufgabe in einem weiteren Aspekt gelöst durch ein Verfahren mit den Merkmalen des Anspruchs 6.
  • In einem weiteren Aspekt wird die Aufgabe gelöst durch ein Halbleiterbauelement mit den Merkmalen des Anspruchs 9.
  • Weitere vorteilhafte Ausführungsformen ergeben sich aus den jeweiligen Unteransprüchen.
  • Die vorliegende Offenbarung beruht im Wesentlichen auf dem Konzept, dass deutliche Verbesserungen im Transistorverhalten erreicht werden können, indem ein negativer Kondensator in eine Gateelektrodenstruktur eingebaut wird. Das heißt, es ist zuvor gezeigt worden, dass deutliche Verbesserungen im Durchlassstrom und im Verhalten im Sub-Schwellenspannungsbereich erreicht werden können, wobei jedoch eine geeignete Anpassung der negativen Gatekapazität an die positive Dielektrikumskapazität entscheidend ist. In dieser Hinsicht wurde erkannt, dass für modernste Technologien effiziente Mechanismen zum Einstellen der gewünschten negativen Kapazität zur Verbesserung der Anpassung erreicht werden können, indem die effektive Dicke des Dielektrikums des negativen Kondensators und die resultierende effektive Fläche der negativen Kapazität eingestellt werden, ohne dass die Gesamtskalierbarkeit des Transistors beeinträchtigt wird.
  • In einigen anschaulichen hierin offenbarten Ausführungsformen wird der Einbau der negativen Kapazität in eine Gateelektrodenstruktur effizient in einem Austauschgateherstellungsansatz umgesetzt, in welchem eine Vertiefung zur Bereitstellung eines geeigneten Metallgates mit großer Dielektrizitätskonstante in einer späten Fertigungsphase hergestellt werden kann, die dann weiter ausgespart wird, um ein schützendes Deckmaterial für selbstjustierte Kontakte zu schaffen. Auf diese Weise kann die entsprechende Vertiefung oder die Öffnung auch verwendet werden, um ein dielektrisches Material mit ferroelektrischen Eigenschaften über einer bestehenden Metallgatestruktur mit großer Dielektrizitätskonstante einzubauen, während die darunterliegende Gateelektrodenstruktur zu einer schwebenden Gateelektrodenstruktur umgebildet wird, die kapazitiv mit einer oberen Metallelektrode über die darüber liegende negative Kapazität gekoppelt ist. Die endgültigen Eigenschaften dieses Systems können vorteilhafterweise auf der Grundlage mindestens zweier unterschiedlicher Mechanismen währen der Ausbildung des negativen Kondensators eingestellt werden.
  • Gemäß Anspruch 9 wird ein Halbleiterbauelement bereitgestellt, das eine Gateelektrodenstruktur aufweist, die benachbart zu einem Kanalgebiet eines Transistorelements ausgebildet ist, wobei die Gateelektrodenstruktur einen schwebenden bzw. potentialfreien Elektronenbereich und einen negativen Kondensatorelektrodenbereich aufweist, der kapazitiv mit dem potentialfreien Elektrodenbereich über ein ferroelektrisches Material gekoppelt ist. Das ferrorelektrische Material ist lateral von einem leitenden Material umschlossen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die Erfindung kann mit Verweis auf die folgende Beschreibung in Verbindung mit den begleitenden Zeichnungen besser verstanden werden, in denen gleiche Bezugszeichen gleiche Elemente bezeichnen, und in denen:
    • 1A-1G schematisch Querschnittsansicht eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung einer Gateelektrodenstruktur mit einem negativen Kondensatorbereich und einem potentialen Gatebereich auf der Grundlage einer Öffnung und eines dielektrischen Abstandhalterelements zur Einstellung des negativen Kapazitätswerts gemäß Beispielen zeigen, die hier nicht beansprucht sind aber zum Verständnis der Erfindung beitragen;
    • 2A-2F schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung einer Gateelektrodenstruktur zeigen, die einen potentialfreien Gateelektrodenbereich und einen negativen Kondensatorbereich enthält, wobei ein dielektrischer Abstandshalter und eine spezielle Abscheidung zum Auffüllen der verbleibenden Öffnung gemäß weiteren Beispielen eingesetzt werden, die hier nicht beansprucht sind aber zum Verständnis der Erfindung beitragen; und
    • 3A-3E schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, wobei insbesondere die wirksame kapazitive Fläche auf der Grundlage eines leitenden Seitenwandabstandshalters zum Festlegen der wirksamen Fläche des Elektrodenmaterials des potentialfreien Gateelektrodenbereichs eingestellt wird, bevor das dielektrische Material, etwa ein ferroelektrisches Material des negativen Kondensatorbereichs gemäß der vorliegenden Erfindung hergestellt wird.
  • Obwohl der hierin offenbare Gegenstand diversen Modifizierungen und Alternativen unterliegen kann, sind dennoch beispielhaft in den Zeichnungen spezielle Ausführungsformen gezeigt und nachfolgend detailliert beschrieben.
  • DETAILLIERTE BESCHREIBUNG
  • In der folgenden Beschreibung sind zum Zwecke der Erläuterung zahlreiche spezielle Details angegeben, um ein gründliches Verständnis anschaulicher Ausführungsformen zu ermöglichen. Es sollte jedoch beachtet werden, dass anschauliche Ausführungsformen auch ohne diese speziellen Details oder mittels einer äquivalenten Anordnung umgesetzt werden können. In anderen Fällen sind gut bekannte Strukturen und Bauelemente nur in Blockdiagrammform dargestellt, um eine unnötige Überfrachtung der anschaulichen Ausführungsformen zu vermeiden. Sofern nicht anders angegeben ist, sollen alle Zahlen, die Größen, Verhältnisse und numerische Eigenschaften von Inhaltsstoffen, Reaktionsbedingungen usw. ausdrücken, die in der Beschreibung und in den Ansprüchen genannt sind, so verstanden werden, dass sie entsprechende übliche Toleranzen, die auch mit „ungefähr“ angegeben werden können, miteinschließen.
  • Nachfolgend sind diverse anschauliche Ausführungsformen der Erfindung beschrieben. Im Sinne der Klarheit sind nicht alle Merkmale in einer tatsächlichen Implementierung in dieser Beschreibung angegeben. Es ist jedoch zu beachten, dass bei der Entwicklung derartiger tatsächlicher Ausführungsformen zahlreiche implementationsspezifische Entscheidungen zu treffen sind, um die speziellen Ziele der Entwickler zu erreichen, etwa die Verträglichkeit mit systembezogenen und firmenbezogenen Rahmenbedingungen, die sich von Implementierung zu Implementierung unterscheiden können. Ferner ist zu beachten, dass ein derartiger Entwicklungsaufwand komplex und zeitaufwendig sein kann, aber dennoch eine Routinemaßnahme für den Fachmann darstellt, wenn er im Besitz dieser Offenbarung ist.
  • Die vorliegende Offenbarung wird nun mit Verweis auf die begleitenden Zeichnungen beschrieben. Es sind diverse Strukturen, Systeme und Bauelemente schematisch in den Zeichnungen zum Zwecke der Erläuterung und zur Verhinderung einer Überfrachtung der vorliegenden Offenbarung mit Details dargestellt, die dem Fachmann ohnehin bekannt sind. Dennoch sind die beigefügten Zeichnungen hierin enthalten, um anschauliche Beispiele der vorliegenden Offenbarung zu beschreiben und zu erläutern. Die Begriffe und Ausdrücke, wie sie hierin verwendet sind, sollen so verstanden und aufgefasst werden, dass die Bedeutung haben, die dem Verständnis dieser Begriffe und Ausdrücke entspricht, die der Fachmann hat. Es ist keine spezielle Definition eines Begriffs oder eines Ausdrucks beabsichtigt, d. h., eine Definition, die sich von den üblichen oder speziellen Bedeutungen unterscheidet, die der Fachmann hat, wenn der entsprechende Ausdruck oder Begriff hierin konsistent verwendet wird. Wenn ein Begriff oder ein Ausdruck eine spezielle Bedeutung haben soll, d. h., eine Bedeutung, die sich von dem Verständnis des Fachmanns unterscheidet, so wird eine derartige spezielle Definition explizit in der Beschreibung in definierter Weise angegeben, die direkt und Eindeutig die spezielle Definition für diesen Begriff oder den Ausdruck bereitstellt.
  • In anschaulichen Ausführungsformen der vorliegenden Erfindung ist ein Konzept implementiert, gemäß welchem eine Gateelektrodenstruktur eines Transistorelements auf Basis eines schwebenden bzw. potentialfreien bzw. nicht-kontaktierten Gateelektrodenbereichs ausgebildet wird, der auf der Grundlage einer geometrischen Konfiguration und eines Materials hergestellt ist, die mit einer speziellen Bauteilgeneration oder einem Technologieknoten verträglich sind, während zusätzlich eine negative Kapazität in die Gateelektrodenstruktur auf der Grundlage von Prozesstechniken eingebaut wird, die eine geeignete Anpassung der Eigenschaften der negativen Kapazität an den potentialfreien Gateelektrodenbereich ermöglicht. Es wurde erkannt, dass insbesondere die Schichtdicke eines dielektrischen Materials mit ferroelektrischen Eigenschaften und die effektive kapazitive Fläche bzw. der effektive Bereich des derartigen dielektrischen Materials effiziente Mechanismen bereitstellen, um in spezieller Weise die schließlich erreichte negative Kapazität einzustellen und somit die Möglichkeit zu schaffen, das Gesamtleistungsvermögen der Gateelektrodenstruktur in feiner Weise zu justieren. Wie zuvor erläutert ist, kann ein geeignetes Feinjustieren oder Anpassen einer negativen Kapazität zu einem verbesserten Leistungsvermögen, insbesondere im Hinblick auf das Vermeiden eines Hystereseverhaltens und das Erreichen eines hohen Durchlassstroms und einer reduzierten Auslenkung der Sub-Schwellenspannung von 60 mV/Dekade und deutlich darunter führen.
  • Andererseits kann ein hohes Maß an Kompatibilität zu bestehenden Prozesstechniken beibehalten werden, so dass nicht in unnötiger Weise die Fertigungskosten erhöht werden. In dieser Hinsicht wurde erkannt, dass ein Prozessregim, in welchem ein Elektrodenmaterial einer Gateelektrodenstruktur in einer späten Fertigungsphase abgetragen wird, beispielsweise zum Einbau eines geeigneten dielektrischen Materials für eine selbstjustierende Kontaktprozesstechnik, vorteilhaft eingesetzt werden kann als Ausgangspunkt zur Herstellung der negativen Kapazität über der zuvor eingerichteten Gateelektrodenstruktur, wodurch die zuvor eingerichtet Gateelektrodenstruktur zu einem potentialfreien Gateelektrodenbereich umfunktioniert wird, der mit dem darüber liegenden negativen Kondensator kapazitiv gekoppelt ist. Gleichzeitig können diverse Prozesstechniken, etwa gut etablierte Abscheidetechniken und äußerst kompatible Materialien eingesetzt werden, um eine erhöhte Prozesssteuerbarkeit bei der Einstellung der wirksamen Dicke des dielektrischen Materials zu erreichen, das in anschaulichen Ausführungsformen ein ferroelektrisches Material oder eines mit ferroelektrischen Eigenschaften der negativen Kapazität sein kann, und wobei auch die wirksame Fläche einstellbar sind.
  • Beim Einrichten des Prozessregims wurden Strategien erkannt, in denen mindestens zwei unterschiedliche Prozesse so angewendet werden können, dass die gewünschten Eigenschaften der negativen Kapazität eingestellt werden, etwa das Abscheiden eines dielektrischen oder eines leitenden Abstandshaltermaterials mit gut definierter Dicke und das Abscheiden des dielektrischen Materials mit ferroelektrischen Eigenschaften auf der Grundlage diverser Abscheideverfahren, so dass deren effektive kapazitiv wirksame Dicke unabhängig eingestellt wird.
  • 1A zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 in einer moderat fortgeschrittenen Fertigungsphase. Wie gezeigt, umfasst das Halbleiterbauelement 100 ein Transistorelement 150, etwa einen P-Transistor oder einen N-Transistor, wobei zu beachten ist, das typischerweise beide Arten von Transistorelementen in dem Halbleiterbauelement 100 abhängig von dem gesamten Schaltungsaufbau vorhanden sind. Es sollte beachtet werden, dass das Konzept der vorliegenden Offenbarung auf eine beliebige Art von Transistorelement anwendbar ist.
  • Das Transistorelement 150 umfasst eine Gateelektrodenstruktur 130, die über einem entsprechenden Kanalgebiet 151 ausgebildet ist, das ein Halbleitergebiet mit geeigneten Eigenschaften repräsentiert, um als das Kanalgebiet des Transistorelements 150 beim Anlegen eines geeigneten Potentials zu dienen, das somit auf Ladungsträger in dem Kanalgebiet 151 einwirkt, wie dies auch zuvor erläutert ist. In einigen anschaulichen Ausführungsformen ist das Kanalgebiet 151 auf der Grundlage eines kristallinen Halbleitermaterials, etwa Silizium, Germanium, Silizium/Germanium und dergleichen aufgebaut, wobei in anderen anschaulichen Ausführungsformen andere Halbleitermaterialien mit III-V- und/oder II-VI-Halbleiterverbindungen verwendet werden. Ferner ist in der in 1A gezeigten Ausführungsform angenommen, dass das Kanalgebiet 151 aus einem grundsätzlich kristallinen Halbleitermaterial aufgebaut ist, während in anderen Konzepten im Wesentlichen amorphe oder mikrokristalline Halbleitermaterialien eingesetzt werden. Das Transistorelement 150 umfasst Drain- und Sourcegebiete 152, die einen geeigneten Aufbau haben, d. h., diese Materialien sind in Form eines stark dotierten kristallinen Halbleitermaterials, einem stark dotierten amorphen Material, möglicherweise in Verbindung mit metallenthaltenden Halbleiterverbindungen zur Verringerung des gesamten Kontaktwiderstandes, und dergleichen vorgesehen. In anderen Fällen sind die Drain- und Sourcegebiete 152 in Form einer erhabenen oder abgesenkten Geometrie bereitgestellt, wobei ein stark dotiertes Halbleitermaterial auf einer Halbleiterschicht ausgebildet ist, die das Halbleiterbasismaterial des Kanalgebiets 151 repräsentiert. Es sollte generell beachtet werden, dass eine beliebige geeignete Geometrie oder Architektur für das Transistorelement 150 einsetzbar ist, wobei insbesondere eine Dicke des Kanalgebiets in Übereinstimmung mit dem Bauteilserfordernissen ausgewählt wird. Beispielsweise wird eine im Wesentlichen verarmte Bauteilarchitektur häufig eingesetzt, indem das Halbleitermaterial unter der Gateelektrodenstruktur 130 mit reduzierter Dicke, beispielsweise von ungefähr 15 nm und deutlich weniger, vorgesehen wird, während in anderen Fällen eine entsprechende im Wesentlichen verarmte Konfiguration nicht erforderlich ist. In ähnlicher Weise wird ein geeignetes Substratmaterial 101 vorgesehen, das ein beliebiges geeignetes Trägermaterial umfasst, etwa ein kristallines Halbleitermaterial in Form von Silizium, Germanium, Silizium/Germanium, und dergleichen, mit geeignet dotierten Gebieten, falls dies für den Gesamtaufbau des Halbleiterbauelements 100 erforderlich ist. In anderen Fällen ist eine vergrabene isolierende Schicht (nicht gezeigt) in dem Substratmaterial 101 derart vorgesehen, dass eine SOI- (Halbleiter- oder Silizium-auf-Isolator-) Architektur zumindest in einigen Bereichen des Halbleiterbauelements 100 geschaffen wird.
  • Es sollte ferner beachtet werden, dass in einigen anschaulichen Ausführungsformen das Transistorelement 150 eine ebene Transistorkonfiguration repräsentiert, d. h., die Stromflussrichtung in dem Kanalgebiet 150 erstreckt sich im Wesentlichen entlang einer einzigen Richtung, d. h., in 1A, in der horizontalen Richtung, ohne dass wesentliche Änderungen der Stromflussrichtung folgen, wie dies typischerweise in dreidimensionalen Transistorarchitekturen, etwa in FIN-FET-Strukturen und dergleichen der Fall ist. In anderen Fällen repräsentiert das Transistorelement 150 einen dreidimensionalen Transistor mit einem Stegaufbau oder mit einem umschlossenen Gate, und somit hat die gezeigte Gatestruktur eine Topographie, die in die Zeichenebene verläuft. In anderen Ausführungsformen repräsentiert das Transistorelement 150 einen vertikal orientierten Transistor, so dass das Gate und die Kanalelemente, die gezeigt sind, eine zusätzliche Topographie aufweisen, die über den einfachen gezeigten Fall hinausgeht. In noch weiteren Ausführungsformen sollte beachtet werden, dass die gebildeten Transistorelemente ferner in einer im Wesentlichen dreidimensionalen oder gestapelten Architektur mit mehreren Schichten aus aktiven Transistorelementen, etwa der Struktur 150, integriert sind.
  • In dieser Fertigungsphase ist das Transistorelement 150 in einem oder mehreren geeigneten dielektrischen Materialien einer Kontaktebene 110 eingebettet, die beispielsweise in Form von Siliziumnitrid, Siliziumdioxid und dergleichen vorgesehen ist. Folglich wird das Transistorelement 150 durch das dielektrische Material der Kontaktebene 110 passiviert und es wird dadurch eine Kontaktebene geschaffen, in der Kontaktelemente in einer späteren Fertigungsphase so herzustellen sind, dass eine Verbindung zu entsprechenden Bereichen des Transistorelements 150 hergestellt wird, und dass ein Kontakt zu einem Metallisierungssystem (nicht gezeigt) erzeugt wird, das über der Kontaktebene 110 herzustellen ist.
  • Ferner umfasst in dieser Fertigungsphase die Gateelektrodenstruktur 130 eine Öffnung 1300, die in einem Bereich der Struktur 130 so ausgebildet ist, dass sie bis zu einer spezifizierten Tiefe verläuft, wodurch leitende Elektrodenmaterialien 133 und 134 der Gateelektrodenstruktur 130 freigelegt werden. Das heißt, ein Seitenwandabstandshalter 135, der aus einem robusten dielektrischen Material, etwa Siliziumnitrid, Materialien mit kleiner Dielektrizitätskonstante und dergleichen gebildet ist, ist weiterhin vorhanden und passiviert die Gateelektrodenstruktur 130 in lateraler Richtung und deckt somit zuverlässig Seitenwandbereiche verbleibender Materialien der Gateelektrodenstruktur 130 ab. Wie nachfolgend detaillierter erläutert ist, wird der verbleibende Bereich der Gateelektrodenstruktur 130, nach der Ausbildung der Öffnung 1300, als ein schwebender bzw. potentialfreier bzw. nicht-angeschlossener Gateelektrodenbereich 130F bezeichnet, da der Bereich 130F keinen direkten Kontakt zu irgendeiner Referenzspannung hat, die zur Steuerung des Kanalgebiets 151 während des Betriebs des Transistorelements 150 verwendet wird.
  • Der potentialfreie Gateelektrodenbereich 130F umfasst ein dielektrisches Material, das auch als Gatedielektrikumsmaterial 131 bezeichnet wird, das in komplexen Anwendungen in Form eines dielektrischen Materials mit großer Dielektrizitätskonstante vorgesehen wird, etwa als Hafniumoxid-basiertes Material, Zirkonoxid-basiertes Material, und dergleichen. Es sollte beachtet werden, dass mehrere dielektrische Materialien bei der Herstellung aufwendiger Gateelektrodenstrukturen verwendet werden können, die eine verbesserte kapazitive Kopplung zu dem Kanalgebiet 151 erfordern. Somit werden bei Bedarf derartige dielektrische Materialien mit großer Dielektrizitätskonstante für die Schicht 130 verwendet. Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen ein zusätzliches „konventionelles“ dielektrisches Material, etwa Siliziumdioxid, Siliziumoxinirid, und dergleichen verwendet wird, zumindest an einer Grenzfläche, die zwischen dem Kanalgebiet 151 und der dielektrischen Schicht 131 ausgebildet ist. Wenn ein derartiges konventionelles dielektrisches Material erforderlich ist, wir die Dicke so gewählt, dass sie einen geringen Einfluss auf die Gesamtkapazität des dielektrischen Materials 131 ausübt. Ferner wird eine weitere Materialschicht 132, die ausgebildet ist, dass sie eine Einstellung von Eigenschaften des Schwellenwerts des Transistorelements 150 ermöglicht, vorgesehen wird und als eine Materialschicht zur Einstellung der Austrittsarbeit dient, die Schicht ein beliebiges Material repräsentiert, das wie die Einstellung der grundlegenden Schwellenspannung für das Transistorelement 150 geeignet ist. Beispielsweise wird Aluminium möglicherweise in Verbindung mit anderen Metallsorten, etwa als aus TiAIC, TiAIN, NbAIC, vorgesehen, während in anderen Fällen andere geeignete Metallsorten, etwa TiN, TaN, TiON in die Schicht 132 eingebaut werden. Des Weiteren dient das Elektrodenmaterial 133 auch als eine effiziente Barrierenmaterialschicht, und das Material 134 repräsentiert eigentliche Elektrodenmaterialien, wobei insbesondere das Material 134 einen insgesamt reduzierten Widerstand bietet und in Form von Wolfram, Kobalt und dergleichen vorgesehen ist, während das Barrierenmaterial 133 in Form TiN, TaN und dergleichen vorgesehen wird.
  • Es sollte beachtet werden, dass die Materialien der Gateelektrodenstruktur 130 in einer späten Fertigungsphase hergestellt werden, d. h., nach dem Entfernen von Platzhaltermaterial einer Platzhalterstruktur, die zur Herstellung des Transistorelements 150 verwendet wird, und nach dem Abscheiden des einen oder der mehreren dielektrischen Materialien der Kontaktebene 110. Nach dem Abtragen von beliebigen nicht erforderlichen Platzhaltermaterialien wird folglich das Materialsystem der Gateelektrodenstruktur 130 auf der Grundlage gut etablierter Abscheidetechniken hergestellt, wobei auch die Materialien 131, 132 und 133 auf Seitenwänden der entsprechenden Öffnung erzeugt werden, die nach dem Entfernen von jeglichen nicht erforderlichen Platzhaltermaterialien entstanden ist, während die Seitenwandabstandshalter 135 beibehalten werden. In anderen Fällen werden zumindest einige der Materialien, die für die Gateelektrodenstruktur 130 erforderlich sind, in einer frühen Fertigungsphase hergestellt, und ein Teil davon wird bei der Herstellung der Öffnung 1300 abgetragen.
  • Generell umfasst gegebenenfalls eine Prozessstrategie zur Herstellung des Halbleiterbauelements 100, wie es in 1A gezeigt, die folgenden Prozesse.
  • Wie zuvor erläutert ist, wird in anschaulichen Ausführungsformen die Gateelektrodenstruktur 130 mit mindestens einem speziellen Gatedielektrikumsmaterial, etwa dem Material 131, einem Material zur Einstellung der Austrittsarbeit, etwa dem Material 132, und einem Elektrodenmaterial, etwa dem Material 133, in einer frühen Fertigungsphase hergestellt, d. h., nach der Bildung geeigneter aktiver Gebiete auf der Grundlage geeigneter Isolationsstrukturen (nicht gezeigt) und nach der Herstellung dotierter Gebiete in dem Substratmaterial 101 und/oder in dem Halbleitermaterial, das zur Herstellung des Kanalgebiets 151 und der Drain- und Sourcegebiete 152 verwendet wird. Wie zuvor angegeben ist, werden abhängig von dem gesamten Transistoraufbau geeignete und gut etablierte Prozessschemata eingesetzt, beispielsweise zur Herstellung vollständig verarmter Kanalgebiete für eine beliebige Art von Transistor, wie sie für das Transistorbauelement 100 erforderlich sind, während entsprechende Gateelektrodenstrukturen auf der Grundlage geeigneter dielektrischer und leitender Materialien hergestellt werden, wobei die Gateelektrodenstruktur 130 als eine funktionale Struktur in einer frühen Fertigungsphase vorgesehen wird.
  • In anderen Fällen wird eine Platzhalterstruktur bereitgestellt, zumindest für einige Transistorelemente, etwa für das Transistorelement 150. In einigen anschaulichen Ausführungsformen werden die Gateelektrodenstruktur 130 oder ihre entsprechende Platzhalterstruktur auf der Grundlage einer Kanallänge von 30 nm oder deutlich kleiner hergestellt, wenn äußert komplexe Halbleiterbauelemente 100 betrachtet werden. In anderen Ausführungsformen ist die Gatelänge deutlich größer. Die weitere Bearbeitung wird fortgesetzt, indem geeignete Prozesse ausgeführt werden, etwa Implantationsprozesse, selektive epitaktische Aufwachsprozesse, Ausheizprozesse und dergleichen, wie dies zum Erhalten der gewünschten Bauteileigenschaften erforderlich ist. In einer weitere fortgeschrittenen Fertigungsphase werden das eine oder die mehreren dielektrischen Materialien für die Kontaktebene 110 durch gut etablierte Prozesstechniken abgeschieden, woran sich Einebnungsprozesse anschließen, auf die Maskierungs- und Ätzschemata folgen, so dass ein Teil der Materialen der Gateelektrodenstruktur 130 entfernt wird, wodurch die Öffnung 130O geschaffen wird, während in anderen Fällen eine entsprechende Platzhalterstruktur im Wesentlichen vollständig auf der Grundlage der Seitenwandabstandshalter 135 und eines entsprechenden Maskierungsschemas entfernt wird, woran sich das Abscheiden der Materialien 131, 132, 133 und 134 anschließt. Wie zuvor erläutert ist, geht die Herstellung des dielektrischen Materials mit großer Dielektrizitätskonstante 131 einher mit dem Abscheiden, oder der Bildung durch Oxidation oder andere Oberflächenbehandlung, eines konventionellen dielektrischen Materials (nicht gezeigt). Die Materialien 131, 132, 133 und 134 werden, wenn sie in dieser späten Fertigungsphase vorgesehen werden, auf der Grundalge gut etablierter Abscheidetechniken aufgebracht. Beispielsweise können dielektrische Materialien mit großer Dielektrizitätskonstante, etwa Hafniumoxid, durch ALD (Atomlagenabscheidung) oder durch aufwendige CVD- (chemische Dampfabscheide-) Techniken abgeschieden werden. Auch das Material für die Austrittsarbeit 132 und das Barrierenmaterial 133 werden durch ALD, PVD (physikalische Dampfabscheidung), metallorganische CVD und dergleichen abgeschieden. Nach der Abscheidung des Elektrodenmaterials 134 und der Einebnung der resultierenden Oberflächentopographie wird die weitere Bearbeitung fortgesetzt, indem eine Ätzmaske hergestellt und ein Bereich der Materialien 134 und 133 in Bezug auf die Seitenwandabstandshalter 135 selektiv abgetragen wird, wodurch der potentialfreie Gateelektrodenbereich 130F geschaffen wird, wie in 1A gezeigt ist. Es sollte beachtet werden, dass die entsprechenden Abtragungsprozesse zum Entfernen der metallenthaltenden Materialien 133 und 134 gut etabliert sind, da in einigen gut etablierten Prozessschemata diese Materialien ohnehin zu vertiefen bzw. teilweise abzutragen sind, so dass eine entsprechende Öffnung geschaffen wird, um darin ein dielektrisches Deckmaterial für einen nachfolgenden selbstjustierten Ätzprozess für die Herstellung von Kontaktelementen, die eine Verbindung zu der Gateelektrodenstruktur 130 herstellen, zu bilden.
  • 1B zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der eine Breite der Öffnung 130O reduziert ist, wie dies durch 130R angegeben ist, was bewerkstelligt werden kann, indem die dielektrische Seitenwandabstandshalterelemente 136 mit spezifizierter Breite oder Dicke 136W an Seitenwänden der Öffnung 130O vorgesehen werden. Die Seitenwandabstandshalber 136 werden in Form eines beliebigen geeigneten dielektrischen Materials bereitgestellt, das die erforderlichen Abscheidefähigkeiten und Kompatibilität, d. h., die Ätzwiderstandsfähigkeit und dergleichen, während der nachfolgenden Bearbeitung bietet. Beispielsweise werden in einigen anschaulichen Ausführungsformen die Seitenwandabstandshalter 136 in Form eines Hafniumdioxidmaterials bereitgestellt. Andere Kandidaten für die Herstellung der Abstandshalter 136 umfassen Materialien, etwa Siliziumdioxid SiN, SiBCN, SiOCN und dergleichen.
  • Die Seitenwandabstandshalter 136 werden gemäß gut etablierten Abscheide- und Ätzstrategien hergestellt, wobei eine Schicht aus Material so abgeschieden wird, dass die Breite oder die Dicke 136W in geeigneter Weise ausgewählt ist. Dazu sind gut etablierte hochkonforme Absteidetechniken, etwa ALD (Atomlagenabscheidung) oder Plasmaunterstütze CVD- (chemische Dampfabscheide-) Techniken verfügbar und werden für die Herstellung einer entsprechenden konformen Schicht des Materials der Abstandshalterelemente 136 eingesetzt. Es sollte beachtet werden, dass Hafniumdioxid oder eines der zuvor genannten Materialien gut etablierte dielektrische Materialien mit große Dielektrizitätskonstante sind, die auch als Dielektrikumsmaterial, beispielsweise wie die Schicht 131, einsetzbar sind und somit sind die entsprechenden Abscheidetechniken und Ätzrezepte ebenfalls verfügbar. Daraufhin werden anisotropische Ätzrezepte angewendet, um Material von horizontalen Bereichen, insbesondere von dem Boden der Öffnung 130O abzutragen, wodurch zumindest das Material 134 erneut freigelegt wird. Es sollte beachtet werden, dass zusätzliche Reinigungsrezepte bei Bedarf angewendet werden, die ebenfalls im Stand der Technik bekannt sind.
  • Durch die Ausbildung der dielektrischen Seitenwandabstandshalter 136 mit geeigneter Dicke oder Breite 136W wird somit ein erster Mechanismus bereitgestellt, der die Einstellung der Eigenschaften einer negativen Kapazität ermöglicht, die noch auf der Grundlage eines entsprechenden dielektrischen Materials mit ferroelektrischem Verhalten zu erzeugen ist.
  • 1C zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein dielektrisches Material 137 mit ferroelektrischen Eigenschaften mit einer spezifizierten Schichtdicke 137T in der Öffnung 130O (siehe 1B) ausgebildet, wobei dies auf der Grundlage gut etablierter Abscheiderezepte, etwa ALD, CVD und dergleichen bewerkstellig wird. Beispielsweise repräsentiert das Material der Schicht 137 ein dielektrisches Material mit großer Dielektrizitätskonstante mit ferroelektrischen Eigenschaften, wenn es in spezieller Weise abgeschieden und verarbeitet wird. Beispielsweise repräsentieren Hafniumoxid-basierte Materialien, Hafniumzirkonoxid-basierte Materialien, Silizium dotierte Hafniumoxid-basierte Materialien oder Silizium dotierte Zirkonoxid-basierte Materialien, Al dotiertes HfO2, Y dotiertes HfO2, La dotiertes HfO2, Gd dotiertes HfO2, Sr dotiertes HfO2 dielektrische Materialien, die ferroelektrische Eigenschaften zeigen, wenn sie als eine dünne Schicht gebildet und speziellen Prozessbedingungen unterworfen werden. Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen die Materialien 136, 137 sehr ähnliche Eigenschaften zeigen, und dass eine entsprechende der Abscheidung nachgeordnete Behandlung des Materials 137 auch das Material 136 beeinflussen kann. Selbst wenn es einen derartigen Einfluss gibt, würden die schließlich erhaltenen Eigenschaften eines negativen Kapazitätsbereichs, der noch auf der Grundlage des dielektrischen Materials 137 zu erzeugen ist, nicht wesentlich beeinflusst, solang die Dicke 137T auf der Grundlage eines separaten Abscheideprozesses eingestellt wird, um die angestrebte Dicke am Boden der zuvor erzeugten Öffnung 130O (siehe 1B) zu erhalten. Anschließend wird ein Metallmaterial 138, etwa Titannitrid und dergleichen, das auch ein gut etabliertes Material für die Herstellung aufwendiger Gateelektrodenstrukturen ist, so abgeschieden, dass es im Wesentlichen die verbleibende Öffnung vollständig ausfüllt, d. h., den Raum zwischen den Seitenwandbereichen der Schicht 137. Die Abscheidung des Materials 138 kann durch gut etablierte Abscheiderezepte bewerkstellig werden, woran sich ein Einebnungsprozess anschließt, während in anderen Fällen, ein selektives Ätzrezept angewendet wird.
  • 1 D zeigt schematisch eine Querschnittsansicht des Halbleiterbauelements 100, wobei ein Bereich des Materials 138 abgetragen ist. Dies wird auf der Grundlage eines selektiven Ätzrezeptes bewerkstelligt, wobei eine Ätzrate für das Material 138 deutlich höher ist im Vergleich zu den Materialien 137, 136, 135. Es sollte beachtet werden, dass derartige selektive Ätzrezepte, beispielsweise für Titannitrid, gut bekannt sind und eingesetzt werden können, um eine spezielle Höhe 138H des Materials 138 zu erhalten. Zu beachten ist, dass während eines entsprechenden Ätzprozesses auch andere Materialbereiche des Materials 138 auf horizontalen Bauteilbereichen abgetragen werden.
  • Wenn in einigen Fällen Gateelektrodenstrukturen mit größerer Gatelänge der Prozesssequenz unterzogen werden, werden gegebenenfalls weitere Maßnahmen getroffen, um derartige Bauelemente mit langen Kanälen zu schützen, da die Ätzrate während eines entsprechenden Ätzprozesses höher sein kann. Daher können derartige Bauelemente maskiert und separat im Vergleich zu den Bauelementen mit moderat reduzierten Kanallängen behandelt werden, etwa wie die Gateelektrodenstruktur 130.
  • Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen nach dem Abscheiden des Materials 137 der Abscheidung nachgeordnete Behandlungen angewendet werden können, um gewisse Materialeigenschaften der Schicht 137 einzustellen. In anderen anschaulichen Ausführungsformen wird zusätzlich oder alternativ zum Ausführen einer der Abscheidung nachgeordneten Behandlung eine Präparierung von freigelegten Oberflächenbereichen der Materialien, die das Material 137 erhalten, vor dem Abscheiden des Materials 137 ausgeführt, um beispielsweise die Körnigkeit des Materials 137 nach der Abscheidung einzustellen, wodurch auch der schließlich erreichte Grad an Ferroelektrizität beeinflusst wird.
  • 1E zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der die Materialien 136, 137 in Bezug auf die Materialien 135 und 138 selektiv abgetragen werden, was auf der Grundlage selektiver anisotrope Ätzrezepte oder isotrope Ätzrezepte, möglicherweise in Verbindung mit einer geeigneten Polymerpolarisierungsschicht, bewerkstelligt wird. Folglich wird das Material 138 zur Bestimmung eines geeigneten Endes des Abtragungsprozesses eingesetzt, wodurch die Materialien 136, 137 so erzeugt werden, dass sie im Wesentlichen der Höhe 138H entsprechen. Während des entsprechenden Abtragungsprozesses wird folglich eine weitere Öffnung 130U gebildet, die nachfolgend zum Abscheiden geeigneter Elektrodenmaterialien und eines dielektrischen Deckmaterials verwendet wird.
  • 1F zeigt schematisch das Halbleiterbauelement 100 in einer Fertigungsphase, in der eine Schicht aus leitendem Material 138B, die in einigen anschaulichen Ausführungsformen in Form des gleichen Materials wie das Material 138 vorgesehen ist, so ausgebildet ist, dass es eine Verbindung zu dem Material 138 hat. Es sollte jedoch beachtet werden, dass ein beliebiges anderes leitendes Material verwendbar ist. Ferner ist ein gut leitendes Metallmaterial 139, etwa Wolfram und dergleichen vorgesehen und dient als effizientes Elektrodenmaterial der Gateelektrodenstruktur 130.
  • Die Materialien 138B, 139 werden durch gut etablierte Abscheidetechniken aufgebracht, woran sich ein Einebnungsprozess anschließt, so dass eine im Wesentlichen ebene Oberflächentopographie für die weitere Bearbeitung geschaffen wird. Durch die leitenden Materialien 138B, 139 und 138 wird somit eine Elektrode eines negativen Kondensatorbereichs 130NC bereitgestellt, wobei das Material 137 das dielektrische Material repräsentiert, das den Elektrodenbereich 130NC kapazitiv mit dem potentialfreien Gateelektrodenbereich 130F koppelt. Um dem Material 137 ferroelektrische Eigenschaften zu verleihen, wird zu einer geeigneten Zeit des Prozessablaufs ein Ausheizprozess so ausgeführt, dass das Material 137 kristallisiert. Beispielsweise wird ein entsprechender Ausheizprozess in der Phase ausgeführt, die in 1 F gezeigt ist, wobei jegliche nicht-kristallisierte Bereiche des Materials 137 (nicht gezeigt) von Oberflächenbereichen durch CMP effizient entfernt werden können.
  • 1G zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der ein dielektrisches Deckmaterial 111 in der Gateelektrodenstruktur 130 ausgebildet ist, wobei dies durch Vertiefen der Materialien 138B, 139 auf der Grundlage gut etablierter Ätzrezepte bewerkstelligt wird, woran sich das Abscheiden eines geeigneten dielektrischen Materials, etwa Siliziumdioxid, Siliziumnitrid und dergleichen, anschließt, und Einebnungsprozess folgt.
  • Folglich umfasst die Gate-Elektrodenstruktur 130 den negativen Kondensatorbereich 130NC, der kapazitiv an den potentialfreien Elektrodenbereich 130F gekoppelt ist, wobei die negative Kapazität des Bereichs 130NC für eine vorgegebene Zusammensetzung des Materials 137 und für eine vorgegebene zuvor ausgeführte Behandlung, das heißt, Ausheizen, durch die Dicke 137T des Bodenbereichs des Materials 137 und durch die wirksame kapazitive Fläche festgelegt ist, die durch die Abmessung 130A definiert ist. Für eine gewünschte Dicke 137T des Bodenbereichs des Materials 137 und seiner wirksamen kapazitiven Fläche würde andererseits die Auswahl einer Materialzusammensetzung, etwa einer Menge an Dotiermittel eines Hafniumoxid-basierten Materials, und eine Behandlung zu der gewünschten Kapazitätsanpassung führen. Das heißt, die Einstellung der negativen Kapazität wird auf der Grundlage zweier unterschiedlicher Mechanismen bewerkstelligt, das heißt, der Abscheidung der Schicht 137 mit der spezifizierten Dicke 137T für vorgegebene Materialeigenschaften, während andererseits die Abmessung 130A und somit die effektive kapazitive Fläche des Bereichs 130NC auf der Grundlage der Dicke oder Breite 136W der Abstandshalter 136 festgelegt wird. Es sollte beachtet werden, dass die Abmessung 130A im Prinzip auch von der Dicke 137T abhängt. Zu beachten ist jedoch, dass nach Auswahl einer Solldicke 137T die Abmessung 130A dennoch unabhängig einstellbar ist, indem eine Sollbreite 136W der Seitenwandabstandshalter 136 bestimmt wird. Folglich ermöglichen zwei effiziente Mechanismen mit gut vorhersagbaren und wiederholbaren Prozessergebnissen eine geeignete Feineinstellung des Kapazitätswertes des negativen Kapazitätsbereichs 130NC für einen gegebenen Aufbau des potentialfreien Gate-Elektrodenbereichs 130F. Daher wird eine gewünschte Anpassung dieser Elektrodenbereiche auf der Grundlage von im Wesentlichen unabhängigen und gut steuerbaren Fertigungsprozessen implementiert, wobei ein hoher Grad an Kompatibilität zu gut etablierten Prozesstechniken weiterhin beibehalten wird. Folglich wird für einen ansonsten gegebenen Transistoraufbau eine deutliche Leistungssteigerung in Bezug auf eine reduzierte Auslenkung im Sub-Schwellenspannungsbereich und in Hinblick auf einen erhöhten Durchlassstrom für eine gegebene Versorgungsspannung erreicht, während in anderen Fällen die Versorgungsspannung reduziert werden kann, wobei dennoch ein erforderliches Funktionsverhalten des Transistorelements 150 beibehalten wird.
  • Mit Verweis auf die 2A-2F werden weitere Halbleiterbauelemente und -techniken beschrieben, um eine Gate-Elektrodenstruktur bereitzustellen, die eine negative Kapazität enthält, die auf der Grundlage mindestens zweier unterschiedlicher Fertigungsprozesse fein einstellbar ist.
  • 2A zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200, das ein Transistorelement 250 aufweist, das auf der Grundlage einer Gate-Elektrodenstruktur 230 aufgebaut ist. In Hinblick auf das Transistorelement 250 gelten die gleichen Kriterien, wie sie zuvor in Zusammenhang mit dem Transistorelement 150 erläutert sind. Ferner wird jede weitere Erläuterung von Details von Materialien, Prozessen und dergleichen weggelassen, und es sei auf das Halbleiterbauelement 100 verwiesen, das im Zusammenhang mit der 1A beschrieben ist. In ähnlicher Weise hat die Gate-Elektrodenstruktur 230 einen Aufbau, wie er zuvor im Zusammenhang mit der Gate-Elektrodenstruktur 130 der 1A beschrieben ist. Das heißt, die Gate-Elektrodenstruktur 230 umfasst ein dielektrisches Material 231, etwa ein dielektrisches Material mit großer Dielektrizitätskonstante, möglicherweise in Verbindung mit einem konventionellen dielektrischen Material (nicht gezeigt), woran sich ein Material zur Einstellung der Austrittsarbeit 232, ein Barrierenmaterial 233 und ein Elektrodenmaterial 234 anschließen. Für jedes dieser Materialien gelten die gleichen Kriterien, wie sie zuvor im Zusammenhang mit der Gate-Elektrodenstruktur 130 der 1A erläutert sind. Ferner ist eine Öffnung 230O auf der Grundlage von Abstandshaltern 235 ausgebildet, wie dies auch zuvor in Verbindung mit dem Halbleiterbauelement 100 erläutert ist.
  • In Bezug auf Fertigungsstrategien zur Herstellung des Halbleiterbauelements 200 sei auf die Prozesse und Materialsysteme verwiesen, die zuvor in Zusammenhang mit dem Halbleiterbauelement 100 beschrieben sind.
  • 2B zeigt schematisch das Halbleiterbauelement 200 nach der Herstellung von dielektrischen Seitenwandabstandshaltern 236, beispielsweise als dielektrische Abstandshalter mit kleiner Dielektrizitätskonstante auf der Grundlage von Materialien, wie sie zuvor spezifiziert sind, wobei dies in der Öffnung 230O erfolgt, wobei die Seitenwandabstandshalter 236 auf der Grundlage ähnlicher Materialien und Prozesstechniken hergestellt werden, wie sie in Verbindung mit den Seitenwandabstandshaltern 136 der 1B beschrieben sind. Insbesondere werden die Abstandshalter 236 auf der Grundlage einer gewünschten Solldicke oder - breite 236W hergestellt, was bewerkstelligt wird, indem gut etablierte Abscheidetechniken angewendet werden, wie dies zuvor erläutert ist.
  • 2C zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist die Öffnung 230 (siehe 2B) mit einem dielektrischen Material 237 gefüllt, das auch ferroelektrische Eigenschaften aufweist, wie dies zuvor erläutert ist. Beispielsweise hat das dielektrische Material 237 einen Aufbau ähnlich zu demjenigen der Seitenwandabstandshalter 236, während in anderen Fällen ein beliebiges geeignetes dielektrisches Material mit großer Dielektrizitätskonstante verwendet wird, solange ein ferroelektrisches Verhalten erreicht wird, beispielsweise nach Anwendung geeigneter Behandlungen, die der Abscheidung vorgeordnet und/oder nachgeordnet sind. Das Material 237, etwa Hafniumdioxid, Zirkondioxid, Hafniumzirkondioxid und dergleichen, wird auf der Grundlage geeigneter Abscheidetechniken aufgebracht, wobei die Abscheideparameter in geeigneter Weise so gewählt sind, dass die Öffnung 230O im Wesentlichen vollständig gefüllt wird (siehe 2B). Dazu wird eine entsprechende Anzahl an Zyklen einer ALD-Prozesssequenz durchlaufen, oder es werden andere CVD-Rezepte eingesetzt, so dass ein gewünschtes Füllverhalten während der Abscheidung des Materials 237 erreicht wird. Bei Bedarf wird überschüssiges Material entfernt, beispielsweise durch einen Einebnungsprozess oder durch einen Ätzprozess, wobei eine gut definierte Oberflächentopographie zur besseren Prozesssteuerung während des nachfolgenden Abtragungsprozesses zum Entfernen zumindest eines Teils des Materials 237 beiträgt.
  • 2D zeigt schematisch das Halbleiterbauelement 200 nach der Herstellung einer Öffnung 230U durch Abtragung zumindest eines Teils des Materials 237. In der gezeigten Ausführungsform wird das Material 236 ebenfalls abgetragen. Es sollte beachtet werden, dass mehrere selektive Ätzrezepte zum Entfernen von Hafniumdioxid-basierten Materialien verfügbar sind und vorteilhaft eingesetzt werden können, um zumindest das Material 237 in Bezug auf die Abstandshalter 235 selektiv abzutragen. Während des Ätzprozesses wird mindestens ein Ätzparameter, etwa die Ätzzeit, in geeigneter Weise so gesteuert, dass eine Restdicke 237T des Materials 237 erreicht wird, wodurch somit die resultierende negative Kapazität auf der Grundlage der Dicke des dielektrischen Materials 237 fein eingestellt wird.
  • Wie ebenfalls zuvor erläutert ist, wird in einigen anschaulichen Ausführungsformen der Abstandshalter 236 in Form eines Materials vorgesehen, das keiner weiteren wesentlichen Änderung der Materialeigenschaften unterliegt, wenn eine entsprechende der Abscheidung nachgeordnete Behandlung des dielektrischen Materials 237 erforderlich ist, um ein gewünschtes ferroelektrisches Verhalten einzustellen. Das heißt, in einigen anschaulichen Ausführungsformen hat das Material des Abstandshalters 236 deutlich andere Eigenschaften, beispielsweise eine deutlich geringere Dielektrizitätskonstante, so dass die gesamte kapazitive Kopplung an dem potentialfreien Gate-Elektrodenbereich 230F nicht wesentlich beeinflusst wird. In anderen Fällen wird, wie zuvor erläutert ist, nur das Material 237 in der Dicke verringert, und der Abstandshalter 236 wird in der Öffnung 230U im Wesentlichen bewahrt.
  • 2E zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der geeignete Elektrodenmaterialien in Form eines geeigneten Barrierenmaterials 238, etwa als Titannitrid und dergleichen, und ein gut leitendes Metall 239, etwa Wolfram, so hergestellt werden, dass sie eine Verbindung zu dem dielektrischen Material 237 haben. In Bezug auf die Herstellung der Materialien 238, 239 gilt, dass ähnliche Techniken eingesetzt werden, wie sie zuvor mit Verweis auf das Halbleiterbauelement 100 erläutert sind. Das heißt, es werden Schichten der Materialien 238, 239 auf der Grundlage gut etablierter Techniken abgeschieden, und es wird ein Einebnungsprozess, etwa ein chemisch-mechanischer Polierprozess, angewendet, um eine ebene Oberflächentopographie zu schaffen. Folglich wird ein negativer Kondensatorbereich 230NC auf der Grundlage der Materialien 238, 239 erhalten, die als eine Elektrode dienen, und das dielektrische Material 237 dient als Kondensatordielektrikum. Wie zuvor erläutert ist, kann auch der dielektrische Seitenwandabstandshalter 236 einen gewissen Einfluss auf die schließlich erreichte kapazitive Kopplung ausüben, jedoch in einigen anschaulichen Ausführungsformen, in einem deutlich reduzierten Maße im Vergleich zu dem zentralen Bereich, der durch das Material 237 gebildet ist.
  • 2F zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein dielektrisches Deckmaterial 211 in der Gate-Elektrodenstruktur 230 so ausgebildet, dass die Fähigkeit der Ausbildung von selbstjustierenden Kontaktelementen gegeben ist, wie dies zuvor erläutert ist. Dazu werden gut etablierte Prozessstrategien angewendet, wie dies ebenfalls zuvor erläutert ist.
  • Ferner werden die ferroelektrischen Eigenschaften des Materials 237 eingerichtet, indem an einem geeigneten Punkt des Prozessablaufs, etwa in der in 2F gezeigten Phase, ein Ausheizprozess ausgeführt wird. Jegliche nicht-kristallisierten Bereiche des Materials 237, die dann gegebenenfalls noch in Oberflächenbereichen vorhanden sind, können effizient durch CMP entfernt werden.
  • Folglich weist die Gate-Elektrode 230 den negativen Kondensatorbereich 230NC mit seinem dielektrischen Material 237, das in anschaulichen Ausführungsformen ein ferroelektrisches Material aufweist, auf mit einer spezifizierten Dicke 237T, dessen Wert in geeigneter Weise auf der Grundlage des Prozesses zur Herstellung der Öffnung 230U (siehe 2D) eingestellt wird. Das heißt, die Dicke 237T wird durch einen gut steuerbaren Ätzprozess festgelegt, wodurch geringe Schwankungen der schließlich erhaltenen negativen Kapazität des Bereichs 230NC gewährleistet sind. Ferner wird die effektive Kapazitätsfläche durch das Maß 237W festgelegt, das heißt, durch die Breite des Materials 237, während andererseits der Einfluss des dielektrischen Seitenwandabstandshalters 236 deutlich reduziert oder vernachlässigbar ist, weil beispielsweise ein geeignetes dielektrisches Material mit relativ geringer Dielektrizitätskonstante gewählt wird. Die Breite 237W wird somit auf der Grundlage eines gut steuerbaren Abscheideprozesses zur Herstellung des Abstandshalters 236 mit spezifizierter Breite 236W festgelegt, wie zuvor erläutert ist (2B). Daher wird auch in diesem Falle eine gut definierte negative Kapazität für den Bereich 230NC bei gegebenem Gesamtaufbau des potentialfreien Gate-Elektrodenbereichs 230F erhalten. Auch in diesem Falle werden die zuvor im Zusammenhang mit dem Bauelement 100 erläuterten Vorteile unter Beibehaltung eines hohen Maßes an Kompatibilität zu gut etablierten Prozessstrategien erreicht.
  • Mit Verweis auf die 3A-3E werden anschauliche Ausführungsformen der vorliegenden Erfindung detailliert beschrieben, wobei die entsprechende wirksame kapazitive Fläche auf der Grundlage eines leitenden Seitenwandabstandshalters eingestellt wird.
  • 3A zeigt schematisch ein Halbleiterbauelement 300 mit einem Transistorelement 350, das wiederum eine Gate-Elektrodenstruktur 330 aufweist. In Bezug auf das Halbleiterbauelement 300 und das Transistorelement 350 gelten die gleichen Kriterien, wie zuvor in Zusammenhang mit den Halbleiterbauelementen 100 und 200 erläutert sind. Daher werden spezielle Details des Halbleiterbauelements 300 und des Transistorelements 350, die keinen Bezug zu der Gate-Elektrodenstruktur 330 haben, nicht wiederholt. In dieser Hinsicht sei auf die Details verwiesen, die im Zusammenhang mit den Halbleiterbauelementen 100 und 200 angegeben sind.
  • In ähnlicher Weise hat die Gate-Elektrodenstruktur 330 in dieser Fertigungsphase einen Aufbau, der ähnlich zu demjenigen ist, der zuvor im Zusammenhang mit der Gate-Elektrodenstruktur 130 der 1A und der Gate-Elektrodenstruktur 230 der 2A erläutert ist. Das heißt, die Gate-Elektrodenstruktur 330 umfasst ein Gate-Dielektrikumsmaterial 331, ein Material für die Austrittsarbeit 332, ein Barrierenmaterial 333 und ein gut leitendes Elektrodenmaterial 334. Des Weiteren ist eine Öffnung 330O so ausgebildet, dass sie die leitenden Materialien 333 und 334 freilegt, während Seitenwände der Öffnung 330O durch Seitenwandabstandshalter 335 gebildet sind. Auch in diesem Falle sei für weitere Details in Hinblick auf Materialien, Prozesstechniken zur Herstellung der Gate-Elektrodenstruktur 330 und dergleichen auf die Kriterien verwiesen, wie sie zuvor in Verbindung den Gate-Elektrodenstrukturen 130, 230 erläutert sind.
  • 3B zeigt schematisch das Halbleiterbauelement 300 in einer weiteren fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein leitendes Material 336, etwa Titannitrid, Tantalnitrid und dergleichen, zumindest auf einem Bereich der Seitenwände der Öffnung 330O ausgebildet, das heißt, auf einem Bereich der Oberflächenbereiche der Abstandshalter 335. Dazu wird ein beliebiges geeignetes Abscheiderezept so angewendet, dass eine Schicht des Materials 336 mit gut definierter Dicke in der Öffnung 330O erzeugt wird, wofür gut etablierte Abscheiderezepte verfügbar sind. Anschließend wird ein Teil des Materials 336 beispielsweise durch geeignete selektive anisotrope Ätzrezepte entfernt, wodurch ein spezieller Grad an Vertiefung 336R erhalten wird, der somit einen Mechanismus repräsentiert, um die negative Kapazität zu steuern, wie nachfolgend detaillierter beschrieben ist.
  • Es sollte beachtet werden, dass in der entsprechenden Prozessstrategie das Material 336 von oberhalb des Elektrodenmaterials 334 entfernt wird, wenn dieses Material 334 eine ausreichende Ätzselektivität bietet, um als eine effiziente Ätzstoppschicht zu dienen. In anderen Fällen wird die erforderliche Vertiefung 336R auf der Grundlage anderer geeigneter Ätzrezepte erreicht, in denen beispielsweise ein geeignetes Polymermaterial so abgeschieden wird, dass die Öffnung 330O zuverlässig gefüllt wird, wobei dennoch eine im Wesentlichen ebene Oberflächentopographie geschaffen wird. In einem nachfolgenden Ätzprozess wird die zuvor gebildete Einebnungsschicht zusammen mit freigelegten Bereichen des Materials 336 entfernt, bis die angestrebte Vertiefung 336R erreicht ist. Daraufhin wird die Einebnungsschicht durch geeigneten Materialabtragungsprozess entfernt, etwa durch Plasmaveraschung und dergleichen. In diesem Falle ist das Material 336 mit der entsprechenden Schichtdicke weiterhin auf der Oberseite des Elektrodenmaterials 334 vorhanden, wie in 3B gezeigt ist.
  • 3C zeigt schematisch das Halbleiterbauelement 300 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine Schicht eines dielektrischem Materials 337, mit der Fähigkeit, ferroelektrische Eigenschaften zu erhalten, konform in der Öffnung 330O so gebildet, dass eine spezifizierte Schichtdicke 337T bereitgestellt ist, wobei ein Kontakt zu dem leitenden Seitenwandabstandshalter 336 und einem Material der Schicht 336 oder dem Material 334, wie sie zuvor erläutert sind, an dem Boden der zuvor ausgebildeten Öffnung 330O hergestellt ist. Ferner ist ein geeignetes leitendes Material 338, etwa Titannitrid, Tantalnitrid und dergleichen, in konformer Weise auf der dielektrischen Schicht 337 ausgebildet. Auch in diesem Falle sind etablierte Abscheidetechniken verfügbar, wobei insbesondere die Dicke 337T mit einem hohen Grad an Präzision auf der Grundlage eines geeignet ausgewählten Sollwerts eingestellt werden kann, indem aufwändige Abscheidetechniken eingesetzt werden, etwa ALD und dergleichen. Daraufhin werden etablierte Abscheidetechniken für die Herstellung der leitenden Schicht 338 eingesetzt.
  • 3D zeigt schematisch das Halbleiterbauelement 300 nach dem Füllen der Öffnung 330O (siehe 3C) mit einem gut leitenden Elektrodenmaterial 339, etwa Wolfram und dergleichen. Dazu werden gut etablierte Abscheidetechniken eingesetzt, woran sich ein Einebnungsprozess anschließt, um eine ebene Oberflächentopographie zu schaffen.
  • 3E zeigt schematisch das Halbleiterbauelement 300 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, wird ein Teil der Materialien 337, 338, 339 durch ein dielektrisches Deckmaterial 311 ersetzt, das zur Ermöglichung eines selbstjustierenden Kontaktprozesses bereitgestellt wird, wie dies auch zuvor mit Verweis auf die Halbleiterbauelemente 100, 200 erläutert ist. Dazu werden gut etablierte Prozesstechniken eingesetzt.
  • Wie ferner ebenfalls zuvor erläutert ist, werden die Eigenschaften des Materials 337 in Bezug auf den Grad an Ferroelektrizität auf der Grundlage der Auswahl geeigneter Abscheidebedingungen und/oder durch die Anwendung von Behandlungen, die der Abscheidung vorgeordnet und/oder nachgeordnet sind, etwa Ausheizprozesse, Modulieren des Oberflächenpotentials des Materials 336 und dergleichen, eingestellt. Beispielsweise wird das Ausheizen des Materials 337 in einer Phase gezeigt, wie in 3E gezeigt ist, wodurch das Vertiefen der Materialien 337, 338 und 339 vereinfacht wird, wie zuvor beschrieben ist.
  • Daher beinhaltet die Gate-Elektrodenstruktur 330 einen potentialfreien Gate-Elektrodenbereich 330F mit dem gut leitenden Elektrodenmaterial 334 und dem leitenden Material 336, das auch als ein leitender Seitenwandabstandshalter bezeichnet werden kann, der zu einem gewissen Grade das dielektrische Material 337 mit der gewünschten Dicke 337T umschließt.
  • Somit umfasst die Gate-Elektrode 330 einen negativen Kondensatorbereich 330NC, der durch die leitenden Materialien 338 und 339 als Elektrode und das dielektrische Material 337, beispielsweise in Form eines ferroelektrischen Materials, gebildet ist. Folglich ist die kapazitive Kopplung durch eine effektive kapazitive Fläche bzw. einen Bereich 330A bestimmt, der durch die leitenden Seitenwandabstandshalter 336 und selbstverständlich das Bodenmaterial gebildet ist, was ebenfalls in Form des Materials 336 vorgesehen ist, wie in 3E gezeigt ist, oder das in Form des Materials 334 vorgesehen ist, wie dies zuvor erläutert ist, wenn der Bodenbereich des Materials 336 während der Herstellung der Vertiefung 336R entfernt wurde. Daher definiert der Grad der Vertiefung 336R die Größe der Fläche 330A, wodurch die Einstellung der Fläche 330A auf der Grundlage einer Prozesssequenz ermöglicht wird, die zum Vertiefen der leitenden Seitenwandabstandshalter 336 eingesetzt wird.
  • Für vorgegebene Materialeigenschaften, die durch die Materialzusammensetzung und die Art der Behandlung festgelegt sind, wird andererseits die negative Kapazität auch durch die Dicke 337T festgelegt, die wiederum unabhängig auf der Grundlage geeigneter Abscheideparameter bei der Herstellung der dielektrischen Schicht 337 eingestellt werden. Daher wird eine gewünschte Feineinstellung der resultierenden negativen Kapazität des Bereichs 330NC bewerkstelligt, indem der Grad an Vertiefung 336R und/oder die Dicke 337T gesteuert werden. Wenn beispielsweise der Grad an Vertiefung 336R reduziert wird, indem beispielsweise eine entsprechende Ätzzeit in geeigneter Weise gesteuert wird, wird die Fläche 330A vergrößert, wodurch auch der Betrag der negativen Kapazität vergrößert wird.
  • Folglich stellt die vorliegende Offenbarung Fertigungsstrategien und resultierende Halbleiterbauelemente bereit, in denen eine Gate-Elektrodenstruktur eines Transistorelements als eine Reihenschaltung eines negativen Kondensatorbereichs und eines potentialfreien Elektrodenbereichs bereitgestellt wird.
  • Dazu wird der Wert der negativen Kapazität der Gate-Elektrodenstruktur in präziser Weise eingestellt, indem mindestens zwei unterschiedliche Mechanismen vorgesehen werden, das heißt, Fertigungsprozesssequenzen, um die Schichtdicke eines ferroelektrischen Materials und die effektive kapazitive Fläche des dielektrischen Materials einzustellen, wobei die Schichtdicke und die effektive Fläche durch die Materialeigenschaften bestimmt sind, etwa die Dielektrizitätskonstante und dergleichen, die wiederum von der Auswahl der Materialzusammensetzung und der Behandlung, das heißt, dem Ausheizen, abhängen. Daher wird eine gewünschte Anpassung der positiven Kapazität der eigentlichen Gate-Elektrodenstruktur, das heißt, des potentialfreien Elektrodenbereichs der Gate-Elektrodenstruktur, und des negativen Kondensatorbereichs bewerkstelligt, um ein besseres Transistorverhalten in Hinblick auf eine reduzierte Auslenkung im Sub-Schwellenspannungsbereich und einen erhöhten Durchlassstrom bei vorgegebener Versorgungsspannung zu erhalten, während eine Hysterese der Gate-Spannungs/Durchlassstrom-Charakteristik vermieden wird. Daher werden für einen gegebenen Gesamttransistoraufbau und für eine gegebene Versorgungsspannung reduzierte Leckströme und bessere Schalteigenschaften erreicht, oder für ein vorgegebenes Transistorleistungsvermögen kann allgemein die Versorgungsspannung reduziert werden, wodurch ebenfalls zu einer reduzierten Gesamtleistungsaufnahme in aufwändigen Halbleiterbauelementen beigetragen wird. Die hierin beschriebenen Techniken werden daher effizient auf Strategien angewendet, in denen die endgültigen Gate-Elektrodeneigenschaften implementiert werden, nachdem die Transistoren in ein dielektrisches Zwischenschichtmaterial einer Kontaktebene eingebettet worden sind. In einigen Vorgehensweisen werden die wesentlichen Gate-Elektrodenstrukturmaterialien, etwa ein Teil des Gate-Dielektrikummaterials, des Materials für die Austrittsarbeit und des hochleitenden Elektrodenmaterials, in einer späteren Fertigungsphase vorgesehen, während in anderen Fällen, zumindest einige dieser Materialien, in einer frühen Fertigungsphase bereitgestellt werden, das heißt, vor dem Fertigstellen des grundlegenden Transistoraufbaus.
  • Die speziellen hierin offenbarten Ausführungsformen sind nur anschaulich, da die Erfindung auf unterschiedliche, aber äquivalente Weisen modifiziert und praktiziert werden kann, wie sich dies für den Fachmann im Lichte der Lehre hierin ergibt. Beispielsweise können die zuvor angegebenen Prozessschritte in einer anderen Reihenfolge ausgeführt werden. Es ist daher ersichtlich, dass die zuvor offenbarten speziellen Ausführungsformen modifiziert und geändert werden können und dass alle derartigen Varianten als innerhalb des Schutzbereichs und des Grundgedankens der Erfindung liegend erachtet werden. Zu beachten ist, dass die Verwendung der Begriffe, etwa „erster“, „zweiter“, „dritter“ oder „vierter“ zur Beschreibung diverser Prozesse oder Strukturen in dieser Beschreibung und in den angefügten Patentansprüchen nur als abkürzende Referenz auf derartige Schritte/Strukturen verwendet sind, und dass sie nicht notwendigerweise implizieren, dass derartige Schritte/Strukturen in dieser Reihenfolge ausgeführt/ausgebildet werden. Selbstverständlich kann abhängig von der genauen Ausdrucksweise in den Ansprüchen eine geordnete Reihenfolge derartiger Prozesse erforderlich sein oder auch nicht. Daher ist der angestrebte Schutzbereich in den nachfolgenden Patentansprüchen angegeben.

Claims (9)

  1. Verfahren, mit: Bilden eines negativen Kondensatorbereichs (330NC) einer Gate-Elektrodenstruktur (330) eines Transistorelements (350), benachbart und kapazitiv gekoppelt zu einem potentialfreien Elektrodenbereich (330F) der Gate-Elektrodenstruktur (330); und Einstellen einer negativen Kapazität des negativen Kondensatorbereichs (330NC) durch unabhängiges Einstellen einer wirksamen kapazitiven Fläche (330A) eines dielektrischen Materials (337) des negativen Kondensatorbereichs (330NC) und einer Dicke (337T) des dielektrischen Materials (337) durch Bilden eines oberen Elektrodenmaterials (336) auf Seitenwänden einer Öffnung (330O), die ein unteres Elektrodenmaterial (333, 334) des potentialfreien Elektrodenbereichs (330F) freilegt, wobei das obere Elektrodenmaterial (336) sich bis zu einer vordefinierten Höhe (336R) erstreckt und einen leitenden Abstandshalter (336) bildet; und Bilden einer Schicht des dielektrischen Materials (337) mit der vorbestimmten Dicke (337T) in der Öffnung (330O).
  2. Verfahren nach Anspruch 1, das ferner umfasst: Füllen der Öffnung (330O) mit einem Elektrodenmaterial (338, 339) des negativen Kondensatorbereichs (330NC) nach dem Bilden des dielektrischen Materials (337).
  3. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Elektrodenmaterials (338) des negativen Kondensatorbereichs (330NC) auf der Schicht des dielektrischen Materials (337).
  4. Verfahren nach Anspruch 1, das ferner umfasst: Ersetzen eines Teils des negativen Kondensatorbereichs (330NC) durch ein dielektrisches Deckmaterial (311).
  5. Verfahren nach Anspruch 1, wobei das dielektrische Material (337) so hergestellt wird, dass es ein ferroelektrisches Verhalten hat.
  6. Verfahren, mit: Ausführen mindestens eines ersten Fertigungsprozesses zur Einstellung einer wirksamen kapazitiven Oberfläche (330A) eines ersten (330F) und eines zweiten Bereichs (330NC) einer Gate-Elektrodenstruktur (330) eines Transistorelements (350), wobei der erste (330F) und der zweite Bereich (330NC) miteinander kapazitiv gekoppelt sind; und Ausführen mindestens eines zweiten Fertigungsprozesses zur Herstellung eines dielektrischen Materials (337) einer vordefinierten Dicke (337T), wobei eine Fläche des dielektrischen Materials (337) der vorbestimmten Dicke (337T) der wirksamen kapazitiven Oberfläche (330A) entspricht vor dem Ausführen des mindestens einen ersten und des mindestens einen zweiten Fertigungsprozesses, Bilden einer Öffnung (330O) in einem dielektrischen Zwischenschichtmaterial (310) derart, dass ein Elektrodenmaterial (333, 334) des ersten Bereichs (330F) der Gate-Elektrodenstruktur (330) freigelegt wird, wobei der mindestens eine erste Fertigungsprozess umfasst: Bilden eines leitenden Seitenwandabstandshalters (336) auf Seitenwänden der Öffnung (330O) derart, dass eine Breite der Öffnung (330O) auf eine erste Breite (130R) reduziert wird.
  7. Verfahren nach Anspruch 6, wobei das dielektrische Material (337) ein ferroelektrisches Material derart aufweist, dass dem zweiten Bereich der Gate-Elektrodenstruktur (330NC) eine negative Kapazität verliehen wird.
  8. Verfahren nach Anspruch 6, wobei der mindestens eine zweite Fertigungsprozess umfasst: Abscheiden des dielektrischen Materials (337) der vordefinierten Dicke (337T) auf dem leitenden Abstandshalter (336) und auf einem Boden der Öffnung (330O), der mit einem Elektrodenmaterial (333, 334) des ersten Bereichs (330F) der Gate-Elektrodenstruktur elektrisch verbunden ist.
  9. Halbleiterbauelement (300), mit: einer Gate-Elektrodenstruktur (330), die benachbart zu einem Kanalgebiet (351) eines Transistorelements (350) ausgebildet ist, wobei die Gate-Elektrodenstruktur (330) einen potentialfreien Elektrodenbereich (330F) und einen negativen Kondensatorbereich (330NC) aufweist, der mit dem potentialfreien Elektrodenbereich (330F) über ein ferroelektrisches Material (337) kapazitiv gekoppelt ist, wobei das ferroelektrische Material (337) von einem leitenden Abstandshalter (336) lateral umschlossen ist.
DE102018217684.1A 2017-10-16 2018-10-16 Verfahren zur Anpassung einer negativen Kapazität in Gate-Elektrodenstrukturen und dazugehörige Halbleitervorrichtung Active DE102018217684B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/784,500 US10141414B1 (en) 2017-10-16 2017-10-16 Negative capacitance matching in gate electrode structures
US15/784,500 2017-10-16

Publications (2)

Publication Number Publication Date
DE102018217684A1 DE102018217684A1 (de) 2019-04-18
DE102018217684B4 true DE102018217684B4 (de) 2023-12-21

Family

ID=64315607

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018217684.1A Active DE102018217684B4 (de) 2017-10-16 2018-10-16 Verfahren zur Anpassung einer negativen Kapazität in Gate-Elektrodenstrukturen und dazugehörige Halbleitervorrichtung

Country Status (4)

Country Link
US (2) US10141414B1 (de)
CN (1) CN109671629B (de)
DE (1) DE102018217684B4 (de)
TW (1) TWI690985B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110690199B (zh) * 2018-07-06 2023-07-25 三星电子株式会社 半导体器件
US11018256B2 (en) * 2019-08-23 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Selective internal gate structure for ferroelectric semiconductor devices
US11227828B2 (en) * 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN110996446B (zh) * 2020-01-03 2022-03-11 中国计量大学 一种交流驱动的led器件及其在交流电电源下的发光方法
US11728413B2 (en) * 2020-07-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate capping structures in semiconductor devices
CN112466952A (zh) * 2020-11-27 2021-03-09 复旦大学 半导体器件及制造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060038242A1 (en) 2004-08-20 2006-02-23 Sharp Laboratories Of America, Inc. Semiconductive metal oxide thin film ferroelectric memory transistor

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160005749A1 (en) * 2014-07-01 2016-01-07 Qualcomm Incorporated Series ferroelectric negative capacitor for multiple time programmable (mtp) devices
US9570588B2 (en) * 2014-12-29 2017-02-14 Globalfoundries Inc. Methods of forming transistor structures including forming channel material after formation processes to prevent damage to the channel material
US10374086B2 (en) * 2015-12-04 2019-08-06 The Regents Of The University Of California 3D transistor having a gate stack including a ferroelectric film
TWI587403B (zh) * 2016-03-18 2017-06-11 國立交通大學 一種用於超高電壓操作之半導體裝置及其形成方法
US10050143B2 (en) * 2016-09-13 2018-08-14 International Business Machines Corporation Integrated ferroelectric capacitor/ field effect transistor structure

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060038242A1 (en) 2004-08-20 2006-02-23 Sharp Laboratories Of America, Inc. Semiconductive metal oxide thin film ferroelectric memory transistor

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PARK, J. H. [et al.]: Sub-kT/q Subthreshold-Slope Using Negative Capacitance in Low Temperature Polycrystalline-Silicon Thin-Film Transistor. In: Sci Rep, Vol. 6, 2016, S. 24734-1-9. DOI: 10.1038/srep24734-1

Also Published As

Publication number Publication date
CN109671629A (zh) 2019-04-23
US10332969B2 (en) 2019-06-25
US20190115437A1 (en) 2019-04-18
DE102018217684A1 (de) 2019-04-18
CN109671629B (zh) 2022-04-22
TW201931445A (zh) 2019-08-01
US10141414B1 (en) 2018-11-27
TWI690985B (zh) 2020-04-11

Similar Documents

Publication Publication Date Title
DE102018217684B4 (de) Verfahren zur Anpassung einer negativen Kapazität in Gate-Elektrodenstrukturen und dazugehörige Halbleitervorrichtung
DE102014219912B4 (de) Verfahren zum Bilden von FinFET-Halbleitervorrichtungen unter Verwendung einer Austauschgatetechnik und die resultierenden Vorrichtungen
DE102014222289B4 (de) Verfahren zum Bilden von Gatestrukturen mit mehreren Austrittsarbeitsfunktionen
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE102010029527B4 (de) Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE112012001158B4 (de) Mosfet mit ausgesparter Kanaldünnschicht und abrupten Übergängen
DE102005009023B4 (de) Verfahren zum Herstellen einer Gateelektrodenstruktur mit asymmetrischen Abstandselementen und Gateestruktur
DE102010038737B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102009039521B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102011003232B4 (de) Herstellverfahren für Metallgateelektrodenstrukturen mit großem ε, die durch ein Austauschgateverfahren auf der Grundlage einer verbesserten Ebenheit von Platzhaltermaterialien hergestellt sind
DE102019116998B4 (de) Leitfähiger kontakt mit treppenartigen barriereschichten
DE102009043628B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren durch Ausführen eines Polierprozesses auf der Grundlage eines Opferfüllmaterials
DE102009046250B4 (de) Verfahren zur Kantenverrundung in einem Austauschgateverfahren auf der Grundlage eines Opferfüllmaterials, das vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102007020258A1 (de) Technik zur Verbesserung des Transistorleitungsverhaltens durch eine transistorspezifische Kontaktgestaltung
DE112020000199T5 (de) Transistorkanal mit Vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102010001404B4 (de) Einstellung von Transistoreigenschaften auf der Grundlage einer späten Wannenimplantation
DE102018100297A1 (de) FinFET-Bauelemente mit eingebetteten Luftspalten und ihre Fertigung
DE102010040058A1 (de) Polysiliziumwiderstände, die in einem Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε hergestellt sind
DE102009047891A1 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren durch Eckenverrundung vor dem vollständigen Entfernen eines Platzhaltermaterials
DE102012215988A1 (de) CET und GATE-Leckstromverringerung in Metall-GATE-Elektrodenstrukturen mit grossem ε durch Wärmebehandlung und nach Entfernung der Diffusionsschicht
DE102009043328B4 (de) Herstellung von Halbleiterwiderständen in einem Halbleiterbauelement mit Metallgatestrukturen durch Erhöhen der Ätzwiderstandsfähigkeit der Widerstände
DE112020000212T5 (de) Transistorkanal mit vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102018201717B4 (de) Halbleiterbauelement mit vergrabenen kapazitiven strukturen und verfahren zur herstellung desselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R130 Divisional application to

Ref document number: 102018010468

Country of ref document: DE

R018 Grant decision by examination section/examining division