DE102014114532A1 - Verfahren zum Herstellen von Polysilizium-Widerständen - Google Patents

Verfahren zum Herstellen von Polysilizium-Widerständen Download PDF

Info

Publication number
DE102014114532A1
DE102014114532A1 DE201410114532 DE102014114532A DE102014114532A1 DE 102014114532 A1 DE102014114532 A1 DE 102014114532A1 DE 201410114532 DE201410114532 DE 201410114532 DE 102014114532 A DE102014114532 A DE 102014114532A DE 102014114532 A1 DE102014114532 A1 DE 102014114532A1
Authority
DE
Germany
Prior art keywords
polysilicon
polysilicon layer
atoms
procedure
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE201410114532
Other languages
English (en)
Inventor
Thomas Gross
Hermann Gruber
Werner Irlbacher
Hans-Joachim Schulze
Mathias von Borcke
Markus Zundel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102014114532A1 publication Critical patent/DE102014114532A1/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/16Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using resistive elements
    • G01K7/18Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using resistive elements the element being a linear resistance, e.g. platinum resistance thermometer
    • G01K7/186Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using resistive elements the element being a linear resistance, e.g. platinum resistance thermometer using microstructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0802Resistors only

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Ein Verfahren (200a) zum Herstellen einer Polysilizium-Widerstandsvorrichtung kann aufweisen; ein Bilden einer Polysiliziumschicht (202a); ein Implantieren von ersten Dotieratomen in mindestens einem Abschnitt der Polysiliziumschicht, wobei die ersten Dotieratome Donatoren mit tiefen Energieniveaus aufweisen (204a); ein Implantieren von zweiten Dotieratomen in dem mindestens einen Abschnitt der Polysiliziumschicht (206a); und ein Ausglühen des mindestens einen Abschnitts der Polysiliziumschicht (208a).

Description

  • Die Offenbarung betrifft Verfahren zum Herstellen von Polysilizium-Widerständen in Halbleiterkomponenten, insbesondere zum Herstellen von präzisen Polysilizium-Widerständen wie beispielsweise Widerstandstemperatursensoren und zum Herstellen von Polysilizium-Widerständen, die wohlgesteuerte Temperaturkoeffizienten besitzen.
  • Mit der Einführung der Silizium-Planartechnik sind nicht nur die aktiven Komponenten wie NMOS-Transistoren (N-Typ-Metalloxid-Halbleitertransistoren), PMOS-Transistoren (P-Typ-Metalloxid-Halbleitertransistoren) und bipolare Transistoren, sondern auch die passiven Komponenten wie Widerstände erforderlich, um für die Silizium-Planartechnik befähigt zu sein. Die Verwendung von polykristallinem Silizium oder Polysilizium, als das es allgemein bekannt ist, als Widerstand ist in der Herstellung von Halbleitervorrichtungen gut bekannt. Im Allgemeinen nimmt der Polysilizium-Widerstand mit steigender Temperatur entweder zu oder ab. Diese Änderungsrate wird als Widerstandstemperaturkoeffizient bezeichnet. Auf der einen Seite erfordert ein präziser Widerstand nicht nur die Eigenschaft der Stabilität und der geringen Streuung, sondern auch einen relativ hohen Schichtwiderstand, um die Abmessungen des Widerstands so klein wie möglich zu halten. Für hochohmige Widerstände, die einfach durch Reihenschaltung von quadratischen Schichten mit einem Schichtwiderstand von 1 kOhm/Quadrat erreicht werden können, wobei die typische minimale kritische Abmessung einer solchen quadratischen Schicht in einem Bereich von 0,5 μm 1 μm liegt (beispielsweise würde ein 500-kOhm-Widerstand aus 500 Teilen solcher quadratischer Schichten aufgebaut sein), verändert sich der Widerstandswert derartiger hochohmiger Polywiderstände, die auf herkömmliche Weise hergestellt sind, zu sehr in Bezug auf die Temperatur, d. h. der Temperaturkoeffizient der hochohmigen Polywiderstände ist zu groß, was zu einer Instabilität der Polywiderstände führt. Aus diesen Gründen besteht ein Bedarf zur Minimierung der Temperaturabhängigkeit eines Polysilizium-Widerstands.
  • Auf der anderen Seite werden Polysilizium-Widerstände für eine Vielzahl von Anwendungen, beispielsweise für Temperatursensoren in Halbleitervorrichtungen, verwendet. Leistungstransistoren wie DMOS-Transistoren (doppelt diffundierte Metalloxid-Halbleitertransistoren) finden vielfältige Anwendungen in Halbleiteranwendungen. Während des Betriebs der Leistungstransistoren treten eine Vielzahl von Schaltzuständen auf, bei denen zum Teil sehr große Leistungsverluste in Wärme umgesetzt werden. Solche Schaltzustände, die mit großen Leistungsverlusten verbunden sind, sind kritisch, da die Temperatur in diesem Fall stark steigt und die Leistungstransistoren durch Überhitzung zerstört werden können. Um die Transistoren gegen Schäden in solchen kritischen Schaltzuständen zu schützen, werden oft Temperatursensoren verwendet. Idealerweise sind die Temperatursensoren so nahe wie möglich an oder in der Zellenanordnung des Leistungstransistors positioniert, so dass ein Temperaturanstieg aufgrund von Energieverlust, der in Wärme umgewandelt wird, früh und schnell detektiert wird und der Leistungstransistor rechtzeitig durch eine Hilfsschaltung wie beispielsweise eine Logikschaltung ausgeschaltet wird, bevor er sich aufgrund einer Überhitzung selbst zerstört. In diesem Fall kann ein Widerstand, der sich in der Zellenanordnung des Leistungstransistors befindet, als schnell reagierender Temperatursensor verwendet werden. Der Temperatursensor ändert seinen absoluten Widerstandswert mit der Temperatur auf die charakteristische Weise, in welchem Fall es möglich ist, ein Abschaltsignal zum Abschalten des Leistungstransistors abzuleiten, wenn ein bestimmter maximal zulässiger Widerstandswert erreicht ist. Daher ist eine offensichtliche Veränderung des Widerstands gemäß einer Temperaturerhöhung für solche Polysilizium-Widerstandstemperatursensoren nötig. Aus diesen Gründen besteht ein Bedarf zur Vergrößerung der Temperaturabhängigkeit eines Polysilizium-Widerstandstemperatursensors in bestimmten Anwendungen. Aber dieses Konzept mit einem Widerstandstemperatursensor versagt in der Praxis oft aufgrund von zu großen Herstellungsschwankungen, mit denen ein Widerstandstemperatursensor dieser Art hergestellt werden kann, da der Absolutwert des Widerstandes nicht sinnvoll als Abschaltschwelle genutzt werden kann. Aus diesen Gründen besteht ein Bedarf zur Minimierung der Herstellungsschwankungsabhängigkeit solcher Polysilizium-Widerstandstemperatursensoren.
  • Somit besteht im Stand der Technik ein Bedarf an Verfahren zum präzisen Herstellen von Polywiderständen und in der Zwischenzeit zum Herstellen von Polywiderständen mit wohlgesteuerten Temperaturkoeffizienten.
  • Gemäß einer oder mehreren Ausführungsformen kann ein Verfahren zum Herstellen einer Polysilizium-Widerstandsvorrichtung Folgendes aufweisen: Bilden einer Polysiliziumschicht; Implantieren von ersten Dotieratomen in mindestens einem Abschnitt der Polysiliziumschicht, wobei die ersten Dotieratome Donatoren mit tiefen Energieniveaus aufweisen; Implantieren von zweiten Dotieratomen in dem mindestens einen Abschnitt der Polysiliziumschicht; und Ausglühen des mindestens einen Abschnitts der Polysiliziumschicht nach dem Implantieren der ersten und der zweiten Dotieratome.
  • In einer Ausgestaltung kann der mindestens eine Abschnitt der Polysiliziumschicht als ein Polysilizium-Widerstandsbereich ausgelegt sein. In noch einer Ausgestaltung kann das Implantieren der ersten Dotieratome in dem mindestens einen Abschnitt der Polysiliziumschicht den Polysilizium-Widerstandsbereich zumindest teilweise amorphisieren. In noch einer Ausgestaltung kann das Ausglühen des mindestens einen Abschnitts der Polysiliziumschicht eine Korngröße in dem Polysilizium-Widerstandsbereich steuern und mindestens einen Teil der implantierten zweiten Dotieratome aktivieren. In noch einer Ausgestaltung kann das Bilden der Polysiliziumschicht ein Bilden der Polysiliziumschicht als eine undotierte Schicht aufweisen. In noch einer Ausgestaltung kann das Bilden der Polysiliziumschicht durch Abscheiden von Polysilizium über einer isolierenden Schicht implementiert sein. In noch einer Ausgestaltung kann das abgeschiedene Polysilizium eine Dicke in einem Bereich zwischen 200 nm und 400 nm aufweisen. In noch einer Ausgestaltung kann eine Energiedifferenz zwischen den Donatoren mit tiefem Energieniveau und einer Leitungsbandkante höher als 200 meV sein. In noch einer Ausgestaltung können die Donatoren mit tiefem Energieniveau aus einer Gruppe ausgewählt sein, die aus Selen, Schwefel und Stickstoff besteht. In noch einer Ausgestaltung können die Donatoren mit tiefem Energieniveau eine vollständige Rekristallisierung des Polysilizium-Widerstandsbereichs während des Ausglühprozesses unterbinden. In noch einer Ausgestaltung kann das Ausglühen gesteuert werden, so dass der mindestens teilweise amorphisierte Polysilizium-Widerstandsbereich teilweise rekristallisiert. In noch einer Ausgestaltung können die zweiten Dotieratome aus einer Gruppe ausgewählt werden, die aus Phosphoratomen und Arsenatomen besteht. In noch einer Ausgestaltung kann das Verfahren ferner ein Bilden von Kontakten an Enden des Polysilizium-Widerstandsbereichs aufweisen, die einen Kontaktbereich definieren. In noch einer Ausgestaltung können die Kontakte Metallkontakte sein. In noch einer Ausgestaltung kann das Verfahren ferner ein Bilden von Störstellen mit tiefem Energieniveau in dem Kontaktbereich aufweisen. In noch einer Ausgestaltung kann das Bilden von Störstellen mit tiefem Energieniveau durch Implantieren von dritten Dotieratomen in dem Kontaktbereich implementiert sein, wobei die dritten Dotieratome Donatoren mit tiefem Energieniveau aufweisen. In noch einer Ausgestaltung können die Donatoren mit tiefem Energieniveau, die in dem Kontaktbereich implantiert werden, aus einer Gruppe ausgewählt werden, die aus Selen, Schwefel und Stickstoff besteht. In noch einer Ausgestaltung kann das Verfahren ferner ein Bilden einer Implantationsmaske über der Polysiliziumschicht vor dem Implantieren der ersten Dotieratome aufweisen, wobei die Implantationsmaske einen vorgegebenen Teilbereich des Polysilizium-Widerstandsbereichs freilegt.
  • In verschiedenen Ausführungsformen wird ein Verfahren zum Herstellen einer Polysilizium-Widerstandsvorrichtung bereitgestellt, das Folgendes aufweist: Bilden einer Polysiliziumschicht; Bilden einer Implantationsmaske über der Polysiliziumschicht, die einen vorgegebenen Teilbereich der Polysiliziumschicht freilegt; Implantieren von Dotieratomen in dem vorgegebenen Teilbereich der Polysiliziumschicht durch die Implantationsmaske; und Erzeugen einer Diffusion der Dotieratome, wobei die Dotieratome höchstens innerhalb der Polysiliziumschicht diffundieren.
  • In einer Ausgestaltung können die Dotieratome höchstens innerhalb eines Abschnitts der Polysiliziumschicht diffundieren. In noch einer Ausgestaltung kann das Bilden der Polysiliziumschicht ein Bilden der Polysiliziumschicht als eine undotierte Schicht aufweisen. In noch einer Ausgestaltung kann das Bilden der Polysiliziumschicht durch Abscheiden von Polysilizium über einer isolierenden Schicht implementiert sein. In noch einer Ausgestaltung kann der vorgegebene Teilbereich sich in einem zentralen Bereich der Polysiliziumschicht befinden. In noch einer Ausgestaltung können die Dotieratome aus einer Gruppe ausgewählt werden, die aus Phosphoratomen und Arsenatomen besteht. In noch einer Ausgestaltung können die Dotieratome aus Atomen ausgewählt werden, die nicht in die isolierende Schicht diffundieren. In noch einer Ausgestaltung kann die Diffusion der Dotieratome durch das Ausglühen von mindestens dem Abschnitt der Polysiliziumschicht erzeugt werden. In nach einer Ausgestaltung können die Dotieratome Donatoren mit tiefem Energieniveau aufweisen. In noch einer Ausgestaltung können die Donatoren mit tiefem Energieniveau aus einer Gruppe ausgewählt werden, die aus Selen, Schwefel und Stickstoff besteht.
  • Die beigefügten Zeichnungen sind enthalten, um ein weiteres Verständnis der Ausführungsformen zu liefern, und bilden einen Teil dieser Beschreibung und werden in diese mit einbezogen. Die Zeichnungen veranschaulichen Ausführungsformen und dienen zusammen mit der Beschreibung dazu, Prinzipien von Ausführungsformen zu erklären. Andere Ausführungsformen und viele der beabsichtigten Vorteile der Ausführungsformen werden ohne weiteres ersichtlich sein, wenn sie durch Bezugnahme auf die folgende genaue Beschreibung besser verstanden werden. Die Elemente der Zeichnungen sind nicht notwendigerweise zueinander maßstabsgetreu. Gleiche Bezugszeichen bezeichnen entsprechende ähnliche Teile.
  • 1 ist eine graphische Darstellung des Temperaturverhaltens eines hochohmigen Polywiderstands, der gemäß einem herkömmlichen Verfahren hergestellt ist.
  • 2A ist ein Flussdiagramm, das ein Verfahren zum Herstellen einer Polysilizium-Widerstandsvorrichtung gemäß einem Aspekt der vorliegenden Offenbarung darstellt.
  • 2B ist ein Flussdiagramm, das ein Verfahren zum Herstellen eines Polysilizium-Widerstands mit niedrigem Temperaturkoeffizienten gemäß einem Aspekt der vorliegenden Offenbarung darstellt.
  • 3A zeigt eine Kornstruktur des kristallisierten Polysiliziums.
  • 3B zeigt eine Kornstruktur des amorphisierten Polysiliziums.
  • 4 ist eine graphische Darstellung des Temperaturverhaltens von Polywiderständen, die gemäß einigen Aspekten der vorliegenden Erfindung hergestellt sind.
  • 5 ist eine aufgeschnittene und perspektivische Ansicht eines Polysilizium-Widerstandstemperatursensors, der gemäß einem Aspekt der vorliegenden Offenbarung hergestellt ist.
  • 6 ist eine Querschnittsansicht eines Polysilizium-Widerstandstemperatursensors mit Herstellungsschwankungen im Stand der Technik.
  • 7A ist ein Flussdiagramm, das ein Verfahren zum Herstellen einer Polysilizium-Widerstandsvorrichtung gemäß einem Aspekt der vorliegenden Offenbarung darstellt.
  • 7B ist ein Flussdiagramm, das ein Verfahren zum Herstellen eines präzisen Polysilizium-Widerstandstemperatursensors gemäß einem Aspekt der vorliegenden Offenbarung darstellt.
  • 8A zeigt den Polysilizium-Widerstandstemperatursensor nach dem Bilden einer Polysiliziumschicht gemäß einem Aspekt der vorliegenden Offenbarung.
  • 8B zeigt den Polysilizium-Widerstandstemperatursensor nach dem Bilden einer Implantationsmaske gemäß einem Aspekt der vorliegenden Offenbarung.
  • 8C zeigt den Polysilizium-Widerstandstemperatursensor nach Dotierung und Diffusion gemäß einem Aspekt der vorliegenden Offenbarung.
  • 9A zeigt den Polysilizium-Widerstandstemperatursensor nach dem Bilden einer Polysiliziumschicht gemäß einem Aspekt der vorliegenden Offenbarung.
  • 9B zeigt den Polysilizium-Widerstandstemperatursensor nach dem Bilden der Implantationsmaske gemäß einem Aspekt der vorliegenden Offenbarung.
  • 9C zeigt den Polysilizium-Widerstandstemperatursensor nach Dotierung und Diffusion gemäß einem Aspekt der vorliegenden Offenbarung.
  • 10A zeigt den Polysilizium-Widerstandstemperatursensor nach dem Bilden einer Polysiliziumschicht gemäß einem Aspekt der vorliegenden Offenbarung.
  • 10B zeigt den Polysilizium-Widerstandstemperatursensor nach dem Bilden der Implantationsmaske gemäß einem Aspekt der vorliegenden Offenbarung.
  • 10C zeigt den Polysilizium-Widerstandstemperatursensor nach Dotierung und Diffusion gemäß einem Aspekt der vorliegenden Offenbarung.
  • In der folgenden genauen Beschreibung wird Bezug auf die beigefügten Zeichnungen genommen, die einen Teil hiervon bilden und in denen spezifische Ausführungsformen, in denen die Offenbarung praktiziert werden kann, zur Veranschaulichung dargestellt sind. In dieser Hinsicht wird eine Richtungsterminologie, wie ”vorne”, ”hinten”, ”führend”, usw. unter Bezugnahme auf die Ausrichtung der beschriebenen Figuren verwendet. Da Komponenten von Ausführungsformen in einer Anzahl von unterschiedlichen Ausrichtungen positioniert sein können, wird die Richtungsterminologie zu Zwecken der Veranschaulichung verwendet und ist in keiner Weise einschränkend. Es ist selbstverständlich, dass andere Ausführungsformen verwendet und strukturelle oder logische Änderungen vorgenommen werden können, ohne vom Umfang der vorliegenden Offenbarung abzuweichen. Die folgende genaue Beschreibung soll daher nicht in einem einschränkenden Sinn interpretiert werden und der Schutzumfang der vorliegenden Offenbarung ist durch die beigefügten Ansprüche definiert.
  • Es ist selbstverständlich, dass die Merkmale der verschiedenen hier beschriebenen Ausführungsformen miteinander kombiniert werden können, sofern das nicht ausdrücklich anders vermerkt ist.
  • Eine Herstellung von Polysilizium-Widerstandsvorrichtungen beinhaltet die Durchführung einer Vielzahl von Prozessen, Prozeduren und Vorgängen, um eine hergestellte Vorrichtung zu erlangen. Diese Vorgänge umfassen eine Schichtung, eine Dotierung, Wärmebehandlungen und eine Strukturierung, sind aber nicht auf diese beschränkt.
  • Die Schichtung ist der Vorgang, der verwendet wird, um Schichten einer ausgewählten Dicke auf einem Wafersubstrat hinzuzufügen. Diese Schichten können Isolatoren, Halbleiter, Leiter und dergleichen sein und können mit einer Reihe von geeigneten Verfahren (beispielsweise chemische Dampfabscheidung, Sputtern und dergleichen) aufwachsen bzw. abgeschieden werden. Die Dotierung ist der Prozess, der bestimmte Mengen an Dotiermitteln durch die Öffnungen in den Oberflächenschichten in die Waferoberfläche einführt. Eine typische Technik, die zur Dotierung eingesetzt wird, ist beispielsweise eine Ionenimplantation. Die Dotierung wird beispielsweise verwendet, um aktive Bereiche in Transistoren zu erzeugen oder Widerstandsbereiche in Widerständen zu schaffen. Wärmebehandlungen sind Vorgänge, bei denen ein vollständiger Wafer oder ein Teil eines Wafers erwärmt wird und bei einer vorgegebenen Temperatur oder in einem Temperaturbereich für eine vorbestimmte Zeit gehalten wird, um bestimmte Ergebnisse zu erzielen. Eine übliche Wärmebehandlung wird als ein Ausglühen bezeichnet, das in der Regel eingesetzt wird, um Defekte in Kristallstrukturen zu reparieren, die durch die Ionenimplantation eingeführt werden. Die Strukturierung ist der Vorgang, der eine Reihe von Schritten verwendet, die zu einer Entfernung ausgewählter Abschnitte von hinzugefügten Oberflächenschichten führen. Die Reihe von Schritten aufweist zuerst ein Bilden einer Schicht aus Lack oder Photolack über einer Polysilizium-Widerstandsvorrichtung. Dann wird eine Lackmaske auf die Vorrichtung ausgerichtet. Anschließend wird die Lackschicht durch die Lackmaske belichtet oder bestrahlt, was Abschnitte der Lackschicht auswählt, die später entfernt werden, um darunter liegende Abschnitte der Vorrichtung freizulegen. Weiterhin wird ein Herstellungsprozess wie etwa eine Ionenimplantation, eine Ionendiffusion und dergleichen auf den freiliegenden Abschnitten der Vorrichtung durchgeführt.
  • Zum Beispiel umfassen die vorherrschenden Prozesse zum Herstellen eines hochohmigen Polysilizium-Widerstands auf dem Markt heute typischerweise Folgendes: Abscheiden einer undotierten Polysiliziumschicht über einer isolierenden Schicht eines Silizium-Substrats, wobei die undotierte Polysiliziumschicht typischerweise in einem Dickenbereich von 200 nm bis 400 nm liegt; dann Implantieren von Dotieratomen in der Polysiliziumschicht mit einem nachfolgenden Schritt des Ausglühens, der den Widerstand des Polysilizium-Widerstands definiert.
  • Im Allgemeinen nimmt der Polysilizium-Widerstand mit steigender Temperatur entweder zu oder ab. Diese Anstiegsrate wird als Widerstandstemperaturkoeffizient bezeichnet. 1 veranschaulicht das Temperaturverhalten eines hochohmigen Widerstands, der gemäß dem oben angegebenen allgemeinen Verfahren hergestellt ist. Der hochohmige Polywiderstand besitzt einen Widerstandswert von 1 kOhm bei Zimmertemperatur (27°C), und der Widerstandswert nimmt erheblich ab, wenn die Arbeitstemperatur steigt, d. h. der hochohmige Polywiderstand besitzt einen negativen Temperaturkoeffizienten, aber die Temperaturkoeffizienten weisen einen großen Absolutwert auf, der in einigen Anwendungen nicht für eine Widerstandsvorrichtung geeignet ist.
  • Die folgenden Ausführungsformen stellen Verfahren zur Verbesserung des Temperaturverhaltens der Polysilizium-Widerstände, insbesondere zur Stabilisierung des Temperaturverhaltens des Widerstandes, bereit.
  • Gemäß einem Aspekt von einer oder mehreren im Folgenden beschriebenen Ausführungsformen können erste Dotieratome, die Donatoren mit tiefen Energieniveaus aufweisen, in mindestens einem Abschnitt einer Polysiliziumschicht implantiert werden. In einer oder mehreren Ausführungsformen kann das Implantieren der ersten Dotieratome den mindestens einen Abschnitt der Polysiliziumschicht zumindest teilweise amorphisieren. Die Donatoren mit tiefem Energieniveau (die auch als Donatoren mit tiefem Niveau oder Donatoren mit tiefer Energie bezeichnet werden), die in der Polysiliziumschicht implantiert sind, können eine vollständige Rekristallisation des mindestens einen Abschnitts der Polysiliziumschicht bei einem späteren Ausglühprozess hemmen. In einer oder mehreren Ausführungsformen kann eine Energiedifferenz zwischen den Donatoren mit tiefer Energie und der Leitungsbandkante (beispielsweise eine Energiedifferenz zwischen einem Energieniveau der Donatoren mit tiefer Energie (beispielsweise einem Energieniveau der Bandstruktur der dotierten Polysiliziumschicht, das durch die Donatoren mit tiefer Energie bereitgestellt wird) und einem Energieniveau der Leitungsbandkante (beispielsweise einem Energieniveau der Leitungsbandkante in der dotierten Polysiliziumschicht, beispielsweise einem Energieniveau der Unterkante des Leitungsbandes in der Bandstruktur von der dotierten Polysiliziumschicht)) mehr als 200 meV betragen. Bei einer oder mehreren Ausführungsformen können solche Donatoren mit tiefer Energie Selenatome, Schwefelatome oder Stickstoffatome sein.
  • Gemäß einem weiteren Aspekt von einer oder mehreren im Folgenden beschriebenen Ausführungsformen können die zweiten Dotieratome in dem zumindest einem Abschnitt der Polysiliziumschicht implantiert werden. In einer oder mehreren Ausführungsformen können die zweiten Dotieratome andere Dotieratome als die ersten Dotieratome sein. In einer oder mehreren Ausführungsformen können die zweiten Dotieratome Dotieratome mit einem flachen Energieniveau (die auch als flache Donatoren bezeichnet werden) sein. Solche flachen Donatoren können eine kleine Differenz zwischen einem Energieniveau der Dotieratome und der Leitungsbandkante aufweisen; beispielsweise eine Differenz von weniger als 100 meV gemäß einer oder mehrerer Ausführungsformen. In einer oder mehreren Ausführungsformen können die zweiten Dotieratome Phosphoratome oder Arsenatome umfassen.
  • In einer oder mehreren Ausführungsformen kann das Implantieren der zweiten Dotieratome nach dem Implantieren der ersten Dotieratome durchgeführt werden. In einer oder mehreren Ausführungsformen kann das Implantieren der zweiten Dotieratome vor dem Implantieren der ersten Dotieratome durchgeführt werden. In einer oder mehreren Ausführungsformen können das Implantieren der ersten Dotieratome und das Implantieren der zweiten Dotieratome zur gleichen Zeit durchgeführt werden.
  • Gemäß verschiedenen Ausführungsformen kann ein Ausglühprozess ausgeführt werden, nachdem die ersten und die zweiten Dotieratome in der Polysiliziumschicht implantiert worden sind.
  • 2A ist ein Flussdiagramm, das ein Verfahren 200a zum Herstellen einer Polysilizium-Widerstandsvorrichtung gemäß einem Aspekt der vorliegenden Offenbarung darstellt. Das Verfahren 200a umfasst: Bilden einer Polysiliziumschicht (in 202a); Implantieren von ersten Dotieratomen in dem mindestens einem Abschnitt der Polysiliziumschicht (in 204a), wobei die ersten Dotieratome Donatoren mit tiefem Energieniveau aufweisen; Implantieren von zweiten Dotieratomen in dem mindestens einen Abschnitt der Polysiliziumschicht (in 206a); und (in 208a) Ausglühen des mindestens einen Abschnitts der Polysiliziumschicht nach dem Implantieren der ersten und zweiten Dotieratome.
  • 2B ist ein Flussdiagramm eines Verfahrens 200b zum Bilden eines Polysilizium-Widerstands mit einem relativ geringeren Temperaturkoeffizienten gemäß einem Aspekt der vorliegenden Offenbarung. Das Verfahren 200b präimplantiert Donatoren mit tiefem Energieniveau in dem Polysilizium-Widerstand, bevor gegebenenfalls zusätzlich etwas von der typischen oder üblichen Dotierungsimplantation durchgeführt wird. Die Präimplantation ändert die Kristallstruktur des Polysiliziums, wodurch es abhängig von der Energie der Implantation amorphisiert oder zumindest stark beschädigt wird. Die präimplantierten Atome beeinflussen die Rekristallisationseigenschaften des Polysiliziums während des Ausglühens, was beispielsweise in einer teilweisen Rekristallisation der amorphisierten Struktur resultiert, mit dem Ergebnis, dass das Temperaturverhalten des Polysiliziums selektiv verändert werden kann. Insbesondere führt wie gezeigt das Verfahren 200b zu einem stabilisierten Temperaturverhalten des Polysilizium-Widerstands.
  • Das Verfahren 200b beginnt bei Block 202b, bei dem eine Halbleitervorrichtung bzw. ein Halbleitersubstrat bereitgestellt wird. Die Halbleitervorrichtung kann andere Vorrichtungen aufweisen, die DMOS-Transistorvorrichtungen, Kondensatoren und dergleichen umfassen, die teilweise hergestellt werden. Eine Oxidschicht wird bei Block 204b über der Vorrichtung gebildet. Die Oxidschicht dient zum Schutz darunterliegender Schichten, die aktive Komponenten, ein Halbleitersubstrat und dergleichen umfassen können. Eine Polysiliziumschicht wird bei Block 206b über der Oxidschicht abgeschieden und besitzt eine ausgewählte Dicke. Typischerweise liegt die Dicke der Polysiliziumschicht in einem Bereich zwischen 200 nm–400 nm. Die Polysiliziumschicht kann undotiert oder durch Einbringen eines Dotiermittels während der Beschichtung leicht dotiert gebildet werden.
  • Gegebenenfalls wird eine Implantationsmaske auf die Halbleitervorrichtung angewendet, die einen ausgewählten Abschnitt und/oder einen Prozentsatz der Polysiliziumschicht freilegt, während sie andere Abschnitte der Polysiliziumschicht (nicht in 2B gezeigt) abdeckt, so dass nur der freiliegenden Bereich der Polysiliziumschicht anschließend implantiert wird. Die Menge oder der Prozentsatz der Polysiliziumschicht, der von der Implantationsmaske freigelegt wird, wird basierend auf einer gewünschten Dotierungskonzentration, der ausgewählten Dicke der Polysiliziumschicht und dem Dotiermittel und der Dosis, die in einer späteren Implantation verwendet wird, bestimmt.
  • Bei der Fortsetzung des Verfahrens 200b bei Block 208b werden Donatoren mit tiefem Energieniveau in die freiliegenden Abschnitte der Polysiliziumschicht präimplantiert, so dass das Polymerverhalten des Polysiliziums optimiert wird. Genauer gesagt wird die Kornstruktur des Polysiliziums amorphisiert oder zumindest teilweise über die Präimplantation von Donatoren mit tiefem Energieniveau amorphisiert. Die Atome mit tiefer Energie werden aus Substanzen ausgewählt, die tiefe Störstellen erzeugen. Besonders geeignete Donatoren mit tiefem Energieniveau umfassen z. B. Selenatome, Stickstoffatome oder Schwefelatome; derart tiefe Störstellen führen zu einer effektiven Dotierungskonzentration, die mit der Temperatur steigt, und zu einem deutlich reduzierten Schottky-Widerstand. Eine typische Präimplantationsdosis liegt in einem Bereich zwischen 1 × 1015 cm–2 und 5 × 1015 cm–2. 3A zeigt ein Beispiel der ursprünglichen kristallinen Kornstruktur des Polysiliziums, während 3B die Kornstruktur von Polysilizium zeigt, das amorphisiert ist.
  • Optional umfasst die Präimplantation zusätzlich die Präimplantation von zweiten Dotieratomen (in 2B gezeigt). Die zweiten Dotieratome werden typischerweise z. B. aus Phosphoratomen ausgewählt.
  • Dann kann jede tatsächliche Dotierung (dort, wo eine solche Dotierung typischerweise stattfindet) durch die freiliegenden Teile des Polywiderstands mit den zweiten Dotieratomen in Block 210b durchgeführt werden. Die zweiten Dotieratome tragen zum Widerstand und zur Leitfähigkeit des Polysilizium-Widerstands bei. Die in dem Implantat eingesetzten zweiten Dotieratome sind typischerweise Phosphoratome mit einer typischen Implantationsdosis im Bereich zwischen 3 × 1014 cm–2 und 4 × 1014 cm–2 und einer Implantationsenergie von 40 keV.
  • Gegebenenfalls werden Metallkontakte an den Enden des Polysilizium-Widerstands gebildet (nicht in 2B gezeigt). Die Kontakte sind aus einem leitenden Material wie etwa Aluminium, Wolfram oder Kupfer gebildet und liefern eine elektrische Kommunikation mit anderen elektronischen Komponenten. In einer Ausführungsform ist der Endbereich des Polysilizium-Widerstands, an dem die Kontakte angeordnet sind, hoch dotiert im Vergleich zu dem zentralen Bereich des Polywiderstands und weist damit einen relativ geringen elektrischen Widerstand auf. Eine typische Phosphorimplantationsenergie von 40 keV bei einer Implantationsdosis 2,7 × 1015 cm–2 wird auf den Kontaktbereich des Polywiderstands angebracht. Dann wird die Implantationsmaske (z. B. Lackmaske), die durch einen geeigneten Prozess, beispielsweise einem geeigneten Lackentfernungsprozess, entfernt.
  • Anschließend wird bei Block 212b ein thermischer Aktivierungsprozess ausgeführt, der ein Ausglühen aufweist und die Dotiermittel, die innerhalb des Polysilizium-Widerstands implantiert sind, aktiviert und diffundiert. Da der implantierte Stickstoff die kristalline Struktur des Polysiliziums stark beeinflusst und zu einer stark fehlgeordneten Kristallstruktur führt, macht dies den nachfolgenden Ausglühprozess dazu fähig, den amorphisierten Bereich teilweise zu rekristallisieren, so dass die Leitfähigkeit bzw. der Widerstandswert des Widerstands auf einen gewünschten Pegel gesteuert wird. Anschließend setzt sich die Herstellung des Polysilizium-Widerstands fort und die Vorrichtung fährt mit anderen Verfahren einschließlich der Kontaktbildung, aber nicht auf diese beschränkt, fort.
  • Graphische Darstellungen des Temperaturverhaltens von hochohmigen, gemäß obigen Verfahren hergestellten Polywiderständen sind in 4 dargestellt, wobei eine Kurve 402 ein Temperaturverhalten eines in einem herkömmlichen Verfahren hergestellten hochohmigen Polywiderstands darstellt (genauer gesagt wird der hochohmige Polywiderstand lediglich mit einem tatsächlichen Dotierungsschritt mit einer Phosphorimplantationsdosis von 8.7 × 1014 cm–2 erzeugt); eine Kurve 404 stellt ein Temperaturverhalten eines hochohmigen Polywiderstands dar, der gemäß einem Aspekt der vorliegenden Offenbarung hergestellt ist und zwar insbesondere mit einer Präimplantation von Stickstoff in einer Dosis von 5 × 1015 cm–2 und mit einem Dotieren von Phosphor in einer Dosis von 3 × 1014 cm–2; Kurve 406 stellt ein Temperaturverhalten eines hochohmigen Polywiderstands, der gemäß einem Aspekt der vorliegenden Offenbarung hergestellt ist und zwar insbesondere mit einer Präimplantation von Stickstoff in einer Dosis von 5 × 1015 cm–2 und mit einem Dotieren von Phosphor in einer Dosis von 4 × 1014 cm–2; und die Kurve 408 ist ein gemischtes Berechnungsergebnis des Temperaturverhaltens, das von der Kurve 404 und der Kurve 406 gezeigt wird. Wie in 4 dargestellt ist über den gleichen Temperaturbereich (von –40°C bis +120°C) der Widerstandswert der mit Präimplantation von Donatoren mit tiefer Energie hergestellten Polywiderstände (Kurven 404, 406 und 408) im Vergleich zu dem ohne Präimplantation hergestellten Polywiderstand (Kurve 402) stabiler, und die Temperaturkoeffizienten der mit Präimplantation erzeugten Polywiderstände sind fast nur halb so groß wie der Temperaturkoeffizient des ohne Präimplantation erzeugten Polywiderstands.
  • Bei einer Implementierung der vorliegenden Erfindung wird das Verfahren in einer Polysiliziumschicht mit einer Dicke von 200 nm angewendet. Mit einer Präimplantationsenergie von 50 keV und einer Stickstoffdosis von 5 × 1015 cm–2 ist die gesamte Polysiliziumschicht amorphisiert.
  • In einigen Ausführungsformen wird undotiertes Polysilizium mittels Stickstoff-Präimplantation voramorphisiert, um die Temperaturkoeffizienten der hochohmigen Polywiderstände zu stabilisieren, und der hohe Anteil an Stickstoff in dem Polysilizium hemmt das Kornwachstum während des anschließenden Ausglühens. Während die Voramorphisierung und das anschließende Ausglühen die beabsichtigten Wirkungen einer reduzierten Trägermobilität durch eine verstärkte Streuung an Gitterfehlern erzielen, können die Temperaturkoeffizienten des Polywiderstands somit unabhängig oder teilweise unabhängig voneinander gesteuert werden, was zu einem Widerstand mit stabileren Temperaturantworten führen kann.
  • Eine typische Implementierung der vorliegenden Erfindung zum Herstellen eines Polywiderstands ist im Folgenden dargestellt: zunächst wird eine Polysiliziumschicht mit einer gewünschten Dicke, beispielsweise 200 nm–400 nm, z. B. in einem LPCVD-Ofen (Ofen für chemische Niederdruck-Gasabscheidung) abgeschieden; dann wird die Präimplantation der Stickstoffatome für das Amorphisieren des Polysiliziums mit einer Implantationsdosis von 5 × 1015 cm–2 und einer Implantationsenergie von 50 keV durchgeführt; anschließend wird die tatsächliche oder typische Dotierung mit Phosphoratomen zur Einstellung des Schichtwiderstands des Polysiliziums auf 1 kOhm/Quadrat durchgeführt, und die Implantationsenergie wird auf 40 keV mit einer Implantationsdosis von 3,5 × 1014 cm–2 gesetzt; ferner ist der Endbereich der Polysiliziumschicht, in dem die Kontakte gebildet werden, hochdotiert mit Phosphor-Atomen, um einen eher kleinen elektrischen Widerstand aufzuweisen, und die Implantationsenergie ist auf 40 keV mit einer Implantationsdosis von 2,7 × 1015 cm–2 eingestellt; gegebenenfalls wird die Polysiliziumschicht z. B. durch Lithographie in die erforderliche Form geschnitten; zuletzt wird ein Ausglühen durchgeführt, um die Leitfähigkeit der Dotiermittel in der Polysiliziumschicht teilweise zu aktivieren, da der hohe Prozentsatz des präimplantierten Stickstoffs die Rekristallisation der Kornstruktur hemmt, was zu einer temperaturstabilen eingeschränkten Mobilität von freien Ladungsträgern führt.
  • In einigen Ausführungsformen werden tiefe Störstellen erzeugt, um die Schottky-Barriere zu verringern, die in der Polysilizium-Metall-Kontaktbereich erzeugt wird, so dass ein zuverlässiger ohmscher Kontakt gebildet werden kann. Um einen solchen ohmschen Kontakt (oder einen niedrigen Widerstand, der ohmsche Eigenschaften aufweist) zu bilden, sollte die Schottky-Barrierenhöhe überall gering sein. Eine Schaffung von tiefen Störstellen kann die Schottky-Barriere reduzieren, wobei die tiefen Störstellen durch Präimplantation von Donatoren mit tiefem Energieniveau, z. B. von Selen in den Kontaktbereich, gebildet werden können.
  • Die folgenden Ausführungsformen stellen Verfahren zur Verbesserung des Temperaturverhaltens der Polysilizium-Widerstände, insbesondere zur Verbesserung des Temperaturverhaltens des Widerstandes bereit.
  • Eine Verwendung von Polysilizium-Widerständen als Temperatursensoren in Halbleitervorrichtungen ist im Stand der Technik gut bekannt. Üblicherweise werden Polysilizium-Widerstandstemperatursensoren so nahe wie möglich bei oder in der Zellenanordnung eines Leistungstransistors positioniert, um den Temperaturanstieg früh und schnell zu detektieren. Der absolute Widerstandswert eines Polysilizium-Widerstands wird durch die Dotiermittel, die in dem Widerstand implantiert sind, und das anschließende Ausglühen bestimmt. Es ist zu beachten, dass die Dotiermittel, die in dem Widerstand implantiert sind, normalerweise nicht vollständig zu dem Widerstand des Polysilizium-Widerstands beitragen, da Dotiermittel in die anderen Schichten unter/über der Polysiliziumschicht diffundieren können und ihre Beweglichkeit an der Oberfläche und in den Randbereichen reduzieren. In einigen Ausführungsformen wird der Polysilizium-Widerstandstemperatursensor in einer Streifenform hergestellt, wie in 5 dargestellt. Die Längsrichtung des Streifens liegt in der y-Achse, die Breite des Querschnitts des Streifens liegt in der x-Achse und die Tiefe des Querschnittes des Streifens liegt in der z-Achse. Obwohl die Dotiermittel den Polysiliziumstreifen erfüllen, können die Dotiermittel, die tatsächlich zu der Leitfähigkeit des Widerstands beitragen, selbst mit der gleichen Implantations- und Ausglüheinstellung zwischen einer Vielzahl von Polysiliziumstreifen schwanken, da die Oberfläche/Grenzfläche der Polystreifen aufgrund der Fertigungsungenauigkeiten Größenschwankungen aufweisen kann. Mit anderen Worten variiert der absolute Widerstandswert eines Polysilizium-Widerstands mit der Schwankung der Struktur und Dotierung des Widerstands. Zum Beispiel beträgt wie in 6 dargestellt in dem herkömmlichen Herstellungsverfahren die typische Breitenschwankung eines Polysilizium-Widerstandsstreifens mit einer Dicke von etwa 1 μm ± 500 nm (wie in 6 als Doppelpfeil 602 dargestellt), die Schwankung der Dicke des Polywiderstands beträgt etwa ±10% (gezeigt als Doppelpfeil 604 in 6), während die Selbstdotierung auf etwa ±8% genau bezogen auf die Dosis (als 606 in 6 dargestellt) eingestellt werden kann. Für eine Vielzahl von Polywiderstandanwendungen würde die Schwankung der Poly-Breite und -Dicke, die durch die Herstellung eingeführt wird, daher vernachlässigbar sein. Jedoch würde viel von dem Platz auf dem Chip aufgrund der Fluktuation der Polywiderstandsbreite verloren gehen (die typische Breite eines Polywiderstands liegt im Bereich von 30 μm–100 μm). Ferner würden die herkömmlichen Methoden dabei versagen, hochgenaue Polywiderstände zu erzeugen, z. B. einen Polysilizium-Widerstandstemperatursensor, bei dem die Schwankung des Widerstandswerts innerhalb von ±5% liegt.
  • Eine oder mehrere Ausführungsformen stellen Verfahren zum Herstellen von Polysilizium-Widerstandstemperatursensoren mit einem genaueren Widerstand bereit.
  • Gemäß einem Aspekt von einer oder mehreren im Folgenden beschriebenen Ausführungsformen können Dotieratome durch eine Implantationsmaske in einem vorgegebenen Teilbereich der Polysiliziumschicht implantiert werden. Anschließend kann eine Diffusion der Dotieratome erzeugt werden (beispielsweise durch Ausglühen bei mindestens dem Teilbereich der Polysiliziumschicht), wobei die Dotieratome höchstens innerhalb der Polysiliziumschicht diffundieren. In einer oder mehreren Ausführungsformen können die Dotieratome höchstens innerhalb eines Abschnitts der Polysiliziumschicht diffundieren. Beispielsweise in einer oder mehreren Ausführungsformen können die diffundierenden Dotieratome eine Begrenzung der Polysiliziumschicht nicht erreichen.
  • 7A ist ein Flussdiagramm, das ein Verfahren 700a zum Herstellen einer Polysilizium-Widerstandsvorrichtung gemäß einem Aspekt der vorliegenden Offenbarung darstellt. Das Verfahren 700a umfasst Folgendes: Bilden einer Polysiliziumschicht (in 702a); Bilden einer Implantationsmaske über der Polysiliziumschicht, die einen vorgegebenen Teilbereich der Polysiliziumschicht freilegt (in 704a); Implantieren von Dotieratomen in dem vorgegebene Teilbereich der Polysiliziumschicht durch die Implantationsmaske (in 706a); und Erzeugen einer Diffusion der Dotieratome, wobei die Dotieratome höchstens innerhalb der Polysiliziumschicht diffundieren (in 708a).
  • 7B ist ein Flussdiagramm eines Verfahrens 700b zum Herstellen eines Polysilizium-Widerstandstemperatursensors gemäß einem Aspekt der vorliegenden Offenbarung. Im Allgemeinen variiert der absolute Widerstandswert des Polysilizium-Widerstands als Ergebnis von Herstellungsschwankungen, wie etwa in dem Querschnittsprofil der Polysiliziumstruktur. Das Verfahren 700b verringert diese Beeinträchtigung durch Polysiliziummaskierung und dem Dotieren einer Teilmenge des Polysiliziums, wobei ein Sensor in einem kontrollierten Volumen wie etwa in dem zentralen Bereich des Polysiliziums erzeugt wird.
  • Beginnend bei Block 702b wird eine Halbleitervorrichtung bzw. ein Halbleitersubstrat bereitgestellt. Die Halbleitervorrichtung kann andere Vorrichtungen aufweisen, die DMOS-Leistungstransistoren, Kondensatoren und dergleichen umfassen, die teilweise hergestellt werden. Eine Oxidschicht, die bei Block 704b über der Vorrichtung gebildet werden kann, dient zum Schutz darunterliegender Schichten, die aktive Komponenten, ein Halbleitersubstrat und dergleichen umfassen können und auch um den Polysilizium-Widerstand elektrisch zu isolieren. Ein Polysiliziumstreifen kann bei Block 706b auf der Oxidschicht mit einer ausgewählten Dicke gebildet werden. Der Polysiliziumstreifen kann als undotiertes Polysilizium gebildet werden. Die Dicke des Polysiliziumstreifens wird typischerweise gemäß anderen Komponenten wie beispielsweise Polysilizium-Gate-Schichten, die auf der Vorrichtung ausgebildet sind, ausgewählt. Eine Implantationsmaske (z. B. Lackmaske), die bei Block 708 auf die Halbleitervorrichtung aufgebracht wird, kann dazu ausgelegt sein, einen ausgewählten Abschnitt und/oder Prozentsatz des Polysilizium-Widerstands im Zentralbereich freizulegen, während sie andere Abschnitte des Widerstands und andere Komponenten auf der Vorrichtung abdeckt, so dass nur der freiliegende Bereich des Polysiliziums durch nachfolgende Prozesse implantiert wird. Die Menge oder der Prozentsatz des Polysiliziums, der von der Implantationsmaske (z. B. Lackmaske) freigelegt ist, kann basierend auf einer gewünschten Dotierungskonzentration, einer ausgewählten Dicke des Polysiliziumstreifens, dem Dotiermittel und der in der späteren Ionenimplantation verwendeten Dosis bestimmt werden, so dass die Dotiermittel, die anschließend implantiert werden, nicht aus dem Streifen diffundieren. Ein ausgewähltes Dotiermittel wird dann bei Block 710b in den freiliegenden Abschnitten des Polysiliziumstreifes implantiert. Da die Ionenimplantation mit einer sehr guten Genauigkeit (typischerweise ±2%) in Bezug auf die Implantationsdosis eingestellt werden kann, kann daher die Dotierungskonzentration in dem Polystreifen sehr genau eingestellt werden. Anschließend kann die Implantationsmaske (z. B. Lackmaske) entfernt werden. Ein Wärmeaktivierungsvorgang wird bei Block 712b ausgeführt, der Dotiermittel, die innerhalb des Polysiliziumstreifens implantiert sind, aktiviert und diffundiert. Die thermische Behandlung kann einen Ausglühvorgang umfassen, der dazu in der Lage ist, die implantierten Dotiermittel zu fast 100% elektrisch zu aktivieren.
  • Mit diesem vorgesehenen Verfahren werden die Dotiermittel nur in dem Zentralbereich des Polysiliziumstreifens eingeführt und werden nicht unter Oberflächen-/Grenzeffekten leiden. Daher werden diese Dotiermittel vollständig zu dem Widerstand der Polysilizium-Widerstandstemperatursensoren beitragen. Da die Schwankung der Lackmaskengröße innerhalb von 1% bis 2% gesteuert werden kann und die Ionenimplantationsdosis einen Fehlerbereich von ungefähr 2% bis 3% aufweist, kann eine Gesamtabweichung von weniger als 5% in Bezug auf den Widerstandswert erreicht werden.
  • 8A, 8B und 8C zeigen eine Polysilizium-Widerstandsvorrichtung 800, die gemäß einem Aspekt der vorliegenden Offenbarung hergestellt ist. Die gezeigte Vorrichtung 800 wird bereitgestellt und beschrieben, um das Verständnis der vorliegenden Erfindung zu erleichtern und ist beispielhaft in ihrer Natur.
  • 8A zeigt den Polysiliziumtemperatursensor nach der Bildung eines Polysiliziumstreifens 806 gemäß einem Aspekt der vorliegenden Offenbarung. Der Polysiliziumstreifen 806 kann auf einer Oxidschicht 804 durch ein geeignetes Abscheidungsverfahren gebildet werden, während die Oxidschicht 804 über einem Halbleitersubstrat oder -körper 802 dargestellt ist, der aus Silizium besteht. Die Dicke 850 des abgeschiedenen Polysiliziumstreifens 806 kann so gewählt werden, dass sie groß genug ist, so dass die Oxidschicht 804 durch die nachfolgende Diffusion des Dotiermittels in weiteren Schritten nicht erreicht werden kann und die Oxidschicht 804 somit von den Dotiermitteln getrennt ist.
  • 8B zeigt die Polysilizium-Widerstandsvorrichtung 800 nach der Bildung der Maske 808, die einen zentralen Abschnitt des Polysiliziumstreifens 806 gemäß einem Aspekt der vorliegenden Offenbarung freilegt. Die Lackmaske 808 hat eine Öffnung 810. Die Öffnung 810 ist in der Regel gleichmäßig entlang des Polysiliziumstreifens 806 angeordnet, um eine einheitliche Verteilung der Dotiermittelkonzentration der implantierten Dotiermittel in der Längsrichtung (y-Richtung) des Polywiderstands 806 zu ermöglichen. Die Breite 860 der Öffnung 810 kann variiert werden. In einer Ausführungsform ist die Breite 860 auf die Größe X1 festgelegt, um sicherzustellen, dass der seitliche Diffusionsbereich 864 der nachfolgend implantierten Spezies in der Querrichtung (x-Richtung) innerhalb des Bereichs zwischen den zwei Seitenflanken 812 und 814 des Polystreifens 806 liegt oder sogar eine erhebliche Entfernung von den Flanken besitzt. Dann werden die Schwankung der Streifenbreite und der Dicke zur Bestimmung des absoluten Widerstandswert des Polystreifens beseitigt, und die Breite 860 der Maskenöffnung 810 ist nun die kritische Abmessung des Polywiderstands, während die Maskierungstechnik nur einen Einfluss von 1%–2% auf den Fehlerbereich hat.
  • 8C veranschaulicht den Polysiliziumtemperatursensor 800 nach der Ionenimplantation 816 gemäß einem Aspekt der vorliegenden Offenbarung. Die Dotiermittel können lediglich durch die Öffnung 810 passieren, werden jedoch in anderen Abschnitten von der Lackmaske 808 behindert. Infolge dessen wird nur ein Teil der auf die Öffnung 810 gerichteten Ionen in dem Polysilizium-Widerstand implantiert, wodurch ein implantierter Bereich 806a gebildet wird. Nach der Ionenimplantation 816 wird die Lackmaske 808 durch ein geeignetes Verfahren (beispielsweise einer chemischen Lösung) entfernt. Dann wird ein thermischer Aktivierungsprozess durchgeführt, der die implantierten Dotiermittel gleichförmiger durch die Polysiliziumstreifen 806 diffundiert, wobei ein Diffusionsbereich 806b gebildet wird.
  • Dementsprechend wird der Polystreifen 806 so gezeigt, dass er aus einem implantierten Bereich 806a, einem diffundierten Bereich 806b und einem undotierten Bereich 806c besteht.
  • Der absolute Widerstandswert des Polystreifens 806 wird lediglich durch die Maskierung 808 (oder die Öffnung 810) und die Dotierungsdosis und -energie bestimmt und der Einfluss der Schwankung der Struktur des Polystreifens 806 auf den absoluten Widerstandswert ist beseitigt. Da ferner die Dicke und die Größe des Polystreifens 806 in Querrichtung wesentlich größer als der Diffusionsumfang der implantierten Dotiermittel sind, kann kein Dotiermittel aus dem Polystreifen 806 diffundieren, was Dotiermittel, das durch Oberflächen-/Grenzeffekte (z. B. die Raumladungszone, die Zwischenräume, etc.) elektrisch inaktiv werden kann, reduziert oder beseitigt, so dass weniger oder gar keine Ladungsträger eine verringerte Mobilität durch die Oberflächenrauhigkeit des Streifens 806 erleiden. Daher können bei der obigen beispielhaften Ausführungsform die Größe und die Form des Polystreifens nun von dem Widerstandswert unabhängig sein. Anders ausgedrückt kann der wirksame Querschnitt der Vorrichtung auf eine Teilmenge des Gesamtstreifenquerschnitts begrenzt werden, mit dem Ergebnis, dass das Profil des abgeschiedenen Streifens nicht mehr den Widerstandswert des Polystreifens definiert, während der jetzt undotierte Bereich 806c zu potenziell undefinierten Bedingungen und unerwünschten Prozessschwankungen oder Variationen geführt hätte, die eine größere praktische Herausforderung für eine Steuerung präsentieren.
  • 9A, 9B und 9C zeigen einen Polysilizium-Widerstandstemperatursensor 900, der gemäß einem Aspekt der vorliegenden Offenbarung hergestellt ist. Die in 9 bereitgestellte Vorrichtung 900 unterscheidet sich von der in 8 dargestellten Vorrichtung 800 in der Polysiliziumstreifendicke und führt zu einer Verringerung des unerwünschten und undefinierten Bereichs in dem Polystreifen.
  • 9A zeigt den Polysilizium-Widerstandstemperatursensor nach der Bildung eines Polysiliziumstreifens 906 gemäß einem Aspekt der vorliegenden Offenbarung. Der Polysiliziumstreifen 906 wird gezeigt, wie er auf einer Oxidschicht 904 durch ein geeignetes Abscheidungsverfahren gebildet ist, während die Oxidschicht 904 über einem Halbleitersubstrat 902 gezeigt wird, das aus Silizium besteht. Die Dicke 950 des abgeschiedenen Polysiliziumstreifens 906 ist nicht größer als die Diffusionstiefe der Dotiermittel, die später implantiert werden können, da eine Dotierungsspezies, die kaum in die Oxidschicht 904 diffundiert, ausgewählt wird; solche Dotieratome können Arsenatome, Phosphoratome etc. sein.
  • 9B zeigt den Polysilizium-Widerstandstemperatursensor 900 nach der Bildung der Maske 908, die einen zentralen Abschnitt des Polysiliziumstreifens 906 gemäß einem Aspekt der vorliegenden Offenbarung freilegt. Die Lackmaske 908 ist mit einer Öffnung 910 gezeigt. Die Öffnung 910 ist typischerweise gleichförmig entlang des Polysilizium-Widerstands 906 so beabstandet, um gleichmäßige Dotiermittel-Verteilung der implantierten Dotiermittel in der Längsrichtung (y-Richtung) des Polystreifens 906 zu ermöglichen. Die Breite 960 der Öffnung 910 kann variiert werden. In einer Ausführungsform ist die Breite 960 auf die Größe X1 eingestellt, um sicherzustellen, dass der Querdiffusionsbereich 964 der nachfolgend implantierten Spezies in der Querrichtung (x-Richtung) im Bereich zwischen den zwei Flanken 912 und 914 des Polystreifens 906 liegt oder sogar einen deutlichen Abstand von den Flanken aufweist. Dann werden die Schwankungen der Streifenbreite und -dicke zur Bestimmung des absoluten Widerstandswerts des Polystreifens beseitigt und die Breite 960 der Maskenöffnung 910 ist nun die kritische Abmessung des Polystreifens, während die Maskierungstechnik nur einen Einfluss von 1%–2% auf den Fehlerbereich aufweist.
  • 9C zeigt den Polysilizium-Widerstandstemperatursensor 900 nach der Ionenimplantation 916 gemäß einem Aspekt der vorliegenden Offenbarung. Die Ionenimplantation 916 implantiert eine ausgewählte Art von Dotiermittel, die nicht signifikant in die Oxidschicht 904 unter dem Polysiliziumstreifen 906 diffundiert. Typische derartige Dotiermittel können beispielsweise Arsenatome oder Phosphoratome sein. Die Dotiermittel passieren die Öffnung 910, aber werden in anderen Abschnitten durch die Lackmaske 908 behindert. Als Ergebnis wird nur ein Teil der auf die Öffnung 910 gerichteten Ionen in dem Polysilizium-Widerstand implantiert, die einen implantierten Bereich 906a bilden. Nach der Implantation 916 wird die Lackmaske 908 durch ein geeignetes Verfahren (beispielsweise eine chemische Lösung) entfernt. Dann wird ein thermischer Aktivierungsprozess durchgeführt, der das implantierte Dotiermittel gleichförmiger durch den Polysiliziumstreifen 906 diffundiert, wobei ein Diffusionsbereich 906b gebildet wird.
  • Da die ausgewählten Dotiermittel nicht wesentlich in die Oxidschicht 904 diffundieren können, kann der Diffusionsbereich 906b bis zur Oberfläche der Oxidschicht 904 reichen, was zu einer Verringerung des unerwünschten und undefinierten Bereichs 906c führt. Nach dem vollständigen Ausglühen des implantierten Dotiermittels sind die eingebrachte Implantationsdosis und die Maskierung 908 die bestimmenden Faktoren des Widerstandswerts für den Polystreifen, aber nicht das Profil des Polystreifens.
  • 10A, 10B und 10C zeigen einen Polysilizium-Widerstandstemperatursensor 1000, der gemäß einem weiteren Aspekt der vorliegenden Offenbarung hergestellt ist. Die Vorrichtung 1000, die in 10 bereitgestellt ist, unterscheidet sich von der in 8 dargestellten Vorrichtung 800 und in 9 dargestellten Vorrichtung 900 dadurch, dass das implantierte Dotiermittel den Polysiliziumstreifen 1006 erfüllt. Bei Vernachlässigung der Oberflächeneffekte und unter der Annahme, dass die Dotierungskonzentration von der Ladungsträgerbeweglichkeit unabhängig ist, können die Dotiermittel auch den gesamten Polystreifen erfüllen, aber ohne die Mobilität der Phononen zu verlieren.
  • 10A zeigt den Polysilizium-Widerstandstemperatursensor nach der Bildung eines Polysiliziumstreifens 1006 gemäß einem Aspekt der vorliegenden Offenbarung. Der Polysiliziumstreifen 1006 wird gezeigt, wie er auf einer Oxidschicht 1004 durch einen geeigneten Abscheidungsprozess ausgebildet ist, während die Oxidschicht 1004 sich über einem Halbleitersubstrat oder -körper 1002 befindet, der aus Silizium besteht. Die Dicke 1050 des abgeschiedenen Polysiliziumstreifens 1006 ist nicht unbedingt größer als die Diffusionstiefe der anschließend implantierten Dotiermittel, da ein Dotiermittel ausgewählt ist, das kaum in die Oxidschicht 904 diffundiert; beispielsweise können die Dotieratome Arsenatome, Phosphoratome usw. sein.
  • 10B zeigt den Polysilizium-Widerstandstemperatursensor 1000 nach der Bildung der Maske 1008, die einen zentralen Abschnitt des Polysiliziumstreifens 1006 gemäß einem Aspekt der vorliegenden Offenbarung freilegt. Die Lackmaske 1008 besitzt eine Öffnung 1010. Die Öffnung 1010 ist in der Regel gleichmäßig auf dem Polysilizium-Widerstand 1006 angeordnet, um eine gleichmäßige Dotiermittel-Verteilung des implantierten Dotiermittels in der Längsrichtung (y-Richtung) des Polystreifens 1006 zu ermöglichen. Die Breite 1060 der Öffnung 1010 kann variiert werden. In einer Ausführungsform ist die Breite 1060 auf die Größe X2 festgesetzt, um sicherzustellen, dass das implantierte Dotiermittel vollständig innerhalb des Polysiliziumstreifens 1006 liegt und die diffundierten Dotiermittel den gesamten Polystreifen 1006 erfüllen.
  • 10C zeigt den Polysilizium-Widerstandstemperatursensor 1000 nach der Ionenimplantation 1016 gemäß einem Aspekt der vorliegenden Offenbarung. Die Ionenimplantation 1016 implantiert eine ausgewählte Art von Dotiermittel, die nicht in die Oxidschicht 1004 unter dem Polysiliziumstreifen 1006 diffundiert. Typische derartige Dotiermittel können beispielsweise Arsenatome oder Phosphoratome sein. Die Dotiermittel passieren die Öffnung 1010, aber werden in anderen Abschnitten durch die Lackmaske 1008 behindert. Als Ergebnis wird nur ein Teil der auf die Öffnung 1010 gerichteten Ionen in den Polysiliziumstreifen implantiert, die einen implantierten Bereich 1006a bilden. Nach der Ionenimplantation 1016 wird die Lackmaske 1008 durch einen geeigneten Prozess (beispielsweise eine chemische Lösung) entfernt. Dann wird eine thermische Aktivierung durchgeführt, die die implantierten Dotiermittel gleichförmiger über den gesamten Polysilizium-Widerstand 1006 diffundieren, was einen diffundierten Bereich 1006b bildet, der die Flanken 1012 und 1014 des Polystreifens 1006 erreicht.
  • Unter der Annahme, dass die Dotierungskonzentration näherungsweise unabhängig von der Ladungsträgerbeweglichkeit ist, und unter Vernachlässigung des Oberflächeneffekts, wird der Widerstandswert bei diesem Ansatz durch die Implantationsdosis und die Maskierung, aber nicht durch die Struktur des Polystreifen 1006 bestimmt, da das Integral der Leitfähigkeit über den Querschnittsbereich 1006 von der Verteilung der implantierten Dotiermittel unabhängig ist.
  • Die obigen Ausführungsformen, die in den 810 dargestellt sind, haben gemeinsam, dass die gesamten für den Stromtransport zur Verfügung stehenden Dotiermittel unabhängig von der Breite und der Dicke des Polystreifens sind. Der Widerstand wird nur durch die gut steuerbaren Prozesse der Maskierung und der Ionenimplantation beeinflusst. Als Ergebnis kann die Breite des Polystreifens um den Faktor 10 reduziert werden. Da der Polystreifen oft eine Länge von mehreren hundert Mikrometern besitzt, kann die Reduzierung der Breite des Polystreifens einen wesentlichen Oberflächenbereich auf der Halbleitervorrichtung einsparen.
  • Ferner sind die in der vorliegenden Offenbarung bereitgestellten Verfahren nicht auf die Herstellung von Polysilizium-Widerstandstemperatursensoren beschränkt, sondern können auch bei verschiedenen Anwendungen von Polysilizium-Widerständen, bei denen hochgenaue Widerstände benötigt werden, angewendet werden.
  • Für die Anwendung von Polysilizium-Widerständen als Temperatursensoren ist eine exzellente Temperaturempfindlichkeit der Polysilizium-Widerstände erforderlich, d. h. eine starke Temperaturabhängigkeit der Widerstände wird bevorzugt. Zu diesem Zweck werden in einigen Ausführungsformen Donatoren mit tiefem Energieniveau in den Polywiderständen implantiert, so dass die Substanzen in den Widerständen nur zu einem bestimmten Prozentsatz zu der Leitfähigkeit bei Zimmertemperatur beitragen, aber die Leitfähigkeit bei höheren Temperaturen, wie z. B. bei 120°C, signifikant erhöhen. Typische derartige Donatoren mit tiefem Energieniveau werden z. B. aus Selen, Schwefel oder Stickstoff usw. gewählt. Diese Donatoren mit tiefem Energieniveau erhöhen die wirksame Konzentration, wenn die Temperatur steigt, was zu einer deutlichen Verringerung des spezifischen Widerstandswerts des Widerstands führt, oder mit anderen Worten zu einer offensichtlichen Erhöhung der Leitfähigkeit des Widerstands führt, und daher wird ein temperaturempfindlicherer Sensor gebildet.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird ein Verfahren zum Herstellen einer Polysilizium-Widerstandsvorrichtung offenbart, das Folgendes umfasst: Bilden einer undotierten Polysiliziumschicht durch Abscheidung und Definieren von mindestens einem Abschnitt davon als Polysilizium-Widerstandsbereich; Präimplantieren von Donatoren mit tiefem Energieniveau in dem Polysilizium-Widerstandsbereich, um den Polysilizium-Widerstandsbereich zu amorphisieren oder zumindest teilweise zu amorphisieren; Implantieren des amorphisierten oder teilweise amorphisierten Polysilizium-Widerstandsbereichs mit zweiten Dotieratomen; und Ausglühen mindestens des Polysilizium-Widerstandsbereichs, um die Korngröße in dem Polysilizium-Widerstandsbereich zu steuern.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung umfasst das Verfahren ferner Folgendes: Bilden einer isolierenden Schicht unter der Polysiliziumschicht, wobei die isolierende Schicht eine Oxidschicht ist und über einem Halbleitersubstrat liegt. Gemäß einem Aspekt der vorliegenden Offenbarung weist die abgeschiedene Polysiliziumschicht eine Dicke in einem Bereich zwischen 200 nm und 400 nm auf.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung umfasst das Verfahren ferner das Bilden von Kontakten an den Enden des Polysilizium-Widerstandsbereichs, die einen Kontaktbereich definieren. Die Kontakte können jede Art von Leifähigkeitskontakten sein, wie beispielsweise Metallkontakte. Gemäß einem weiteren Aspekt der vorliegenden Offenbarung werden Störstellen mit tiefem Energieniveau (die auch als tiefe Störstellen bezeichnet werden) durch Präimplantieren von Donatoren mit tiefem Energieniveau im Kontaktbereich gebildet, um die Schottky-Barriere in dem Kontaktbereich zu reduzieren. Solche Donatoren mit tiefem Energieniveau können beispielsweise Selen, Schwefel oder Stickstoff usw. sein. Ergänzend oder alternativ können Atome mit flachem Energieniveau wie Phosphor- oder Arsen-Atome implantiert werden, was zu einer Oberflächenkonzentration von mehr als 1 × 1019 cm–3 führt. Gemäß einem weiteren Aspekt der vorliegenden Offenbarung wird eine Maske (beispielsweise eine Lackmaske) zum Freilegen eines vorgegebenen Teilbereichs des Polysilizium-Widerstandsbereichs gebildet.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird ein Verfahren zum Herstellen einer Polysilizium-Widerstandsvorrichtung offenbart, das Folgendes aufweist: Bilden einer undotierten Polysiliziumschicht durch Abscheidung und Definieren von mindestens einem Teil davon als Polysilizium-Widerstandsbereich; Bilden einer Maske (z. B. einer Lackmaske), die einen vorgegebenen Teilbereich des Polysilizium-Widerstandsbereichs freilegt; Dotieren des Polysilizium-Widerstandsbereichs durch den vorgegebenen Unterbereich mit Dotieratomen; und Erzeugen einer Diffusion der Dotieratome, wobei die Dotieratome höchstens innerhalb des Polysilizium-Widerstandsbereichs der Polysiliziumschicht diffundieren.
  • Die vorgegebene Teilbereich kann ein Teilbereich in einem zentralen Bereich des Polysilizium-Widerstandsbereichs sein. Gemäß einem Aspekt der vorliegenden Offenbarung können die Dotieratome beispielsweise Phosphoratome sein. Gemäß einem alternativen Aspekt der vorliegenden Offenbarung werden die Dotieratome aus Atomen gewählt, die nicht in andere Schichten diffundieren. Solche Dotieratome umfassen beispielsweise Phosphoratome und Arsenatome. Gemäß einem weiteren Aspekt der vorliegenden Offenbarung werden die Dotieratome aus Donatoren mit tiefem Energieniveau ausgewählt, um die Temperaturabhängigkeit des spezifischen Widerstands des Polysilizium-Widerstandsbereichs zu reduzieren, wenn die Arbeitstemperatur steigt. Solche Donatoren mit tiefem Energieniveau können beispielsweise Selen, Schwefel und Stickstoff sein. Gemäß einem weiteren Aspekt der vorliegenden Offenbarung werden die Dotieratome aus Akzeptoren mit tiefem Energieniveau ausgewählt.
  • Die Diffusion von Dotieratomen kann durch Ausglühen von mindestens dem Polysilizium-Widerstandsbereich erzeugt werden.
  • Obwohl spezifische Ausführungsformen dargestellt und beschrieben worden sind, ist es für Fachleute auf dem Gebiet ersichtlich, dass eine Vielzahl von alternativen und/oder äquivalenten Implementierungen für die spezifischen gezeigten Ausführungsformen eingesetzt werden kann, ohne vom Umfang der vorliegenden Offenbarung abzuweichen. Diese Anmeldung soll jegliche Anpassungen oder Abwandlungen der hier erörterten spezifischen Ausführungsformen abdecken. Daher soll diese Offenbarung nur durch die Ansprüche und deren Äquivalente beschränkt sein.

Claims (22)

  1. Verfahren (200a) zum Herstellen einer Polysilizium-Widerstandsvorrichtung, das Folgendes aufweist: Bilden einer Polysiliziumschicht (202a); Implantieren von ersten Dotieratomen in mindestens einem Abschnitt der Polysiliziumschicht, wobei die ersten Dotieratome Donatoren mit tiefen Energieniveaus aufweisen (204a); Implantieren von zweiten Dotieratomen in dem mindestens einen Abschnitt der Polysiliziumschicht (206a); und Ausglühen des mindestens einen Abschnitts der Polysiliziumschicht nach dem Implantieren der ersten und der zweiten Dotieratome (208a).
  2. Verfahren (200a) nach Anspruch 1, wobei der mindestens eine Abschnitt der Polysiliziumschicht als ein Polysilizium-Widerstandsbereich ausgelegt ist.
  3. Verfahren (200a) nach Anspruch 2, wobei das Implantieren (204a) der ersten Dotieratome in dem mindestens einen Abschnitt der Polysiliziumschicht den Polysilizium-Widerstandsbereich zumindest teilweise amorphisiert; wobei vorzugsweise das Ausglühen (208a) des mindestens einen Abschnitts der Polysiliziumschicht eine Korngröße in dem Polysilizium-Widerstandsbereich steuert und mindestens einen Teil der implantierten zweiten Dotieratome aktiviert.
  4. Verfahren (200a) nach einem der Ansprüche 1 bis 3, wobei das Bilden (202a) der Polysiliziumschicht ein Bilden der Polysiliziumschicht als eine undotierte Schicht aufweist.
  5. Verfahren (200a) nach einem der Ansprüche 1 bis 4, wobei das Bilden der Polysiliziumschicht (202a) durch Abscheiden von Polysilizium über einer isolierenden Schicht implementiert ist; wobei vorzugsweise das abgeschiedene Polysilizium eine Dicke in einem Bereich zwischen 200 nm und 400 nm aufweist.
  6. Verfahren (200a) nach einem der Ansprüche 1 bis 5, wobei eine Energiedifferenz zwischen den Donatoren mit tiefem Energieniveau und einer Leitungsbandkante höher als 200 meV ist.
  7. Verfahren (200a) nach einem der Ansprüche 1 bis 6, wobei die Donatoren mit tiefem Energieniveau aus einer Gruppe ausgewählt sind, die aus Selen, Schwefel und Stickstoff besteht.
  8. Verfahren (200a) nach einem der Ansprüche 2 bis 7, wobei die Donatoren mit tiefem Energieniveau eine vollständige Rekristallisierung des Polysilizium-Widerstandsbereichs während des Ausglühprozesses unterbinden.
  9. Verfahren (200a) nach einem der Ansprüche 3 bis 8, wobei das Ausglühen (2080a) gesteuert wird, so dass der mindestens teilweise amorphisierte Polysilizium-Widerstandsbereich teilweise rekristallisiert.
  10. Verfahren (200a) nach einem der Ansprüche 1 bis 9, wobei die zweiten Dotieratome aus einer Gruppe ausgewählt werden, die aus Phosphoratomen und Arsenatomen besteht.
  11. Verfahren (200a) nach einem der Ansprüche 2 bis 10, das ferner ein Bilden von Kontakten an Enden des Polysilizium-Widerstandsbereichs aufweist, die einen Kontaktbereich definieren; wobei vorzugsweise die Kontakte Metallkontakte sind.
  12. Verfahren (200a) nach Anspruch 11, das ferner ein Bilden von Störstellen mit tiefem Energieniveau in dem Kontaktbereich aufweist; wobei vorzugsweise das Bilden von Störstellen mit tiefem Energieniveau durch Implantieren von dritten Dotieratomen in dem Kontaktbereich implementiert ist, wobei die dritten Dotieratome Donatoren mit tiefem Energieniveau aufweisen; wobei weiter vorzugsweise die Donatoren mit tiefem Energieniveau, die in dem Kontaktbereich implantiert werden, aus einer Gruppe ausgewählt sind, die aus Selen, Schwefel und Stickstoff besteht.
  13. Verfahren (200a) nach einem der Ansprüche 2 bis 12, das ferner ein Bilden einer Implantationsmaske über der Polysiliziumschicht vor dem Implantieren der ersten Dotieratome aufweist, wobei die Implantationsmaske einen vorgegebenen Teilbereich des Polysilizium-Widerstandsbereichs freilegt.
  14. Verfahren zum Herstellen einer Polysilizium-Widerstandsvorrichtung, das Folgendes aufweist: Bilden einer Polysiliziumschicht; Bilden einer Implantationsmaske über der Polysiliziumschicht, die einen vorgegebenen Teilbereich der Polysiliziumschicht freilegt; Implantieren von Dotieratomen in dem vorgegebenen Teilbereich der Polysiliziumschicht durch die Implantationsmaske; und Erzeugen einer Diffusion der Dotieratome, wobei die Dotieratome höchstens innerhalb der Polysiliziumschicht diffundieren.
  15. Verfahren nach Anspruch 14, wobei die Dotieratome höchstens innerhalb eines Abschnitts der Polysiliziumschicht diffundieren.
  16. Verfahren nach Anspruch 14 oder 15, wobei das Bilden der Polysiliziumschicht ein Bilden der Polysiliziumschicht als eine undotierte Schicht aufweist.
  17. Verfahren nach einem der Ansprüche 14 bis 16, wobei das Bilden der Polysiliziumschicht durch Abscheiden von Polysilizium über einer isolierenden Schicht implementiert ist.
  18. Verfahren nach einem der Ansprüche 14 bis 17, wobei der vorgegebene Teilbereich sich in einem zentralen Bereich der Polysiliziumschicht befindet.
  19. Verfahren nach einem der Ansprüche 14 bis 18, wobei die Dotieratome aus einer Gruppe ausgewählt sind, die aus Phosphoratomen und Arsenatomen besteht.
  20. Verfahren nach einem der Ansprüche 17 bis 19, wobei die Dotieratome aus Atomen ausgewählt sind, die nicht in die isolierende Schicht diffundieren.
  21. Verfahren nach Anspruch einem der Ansprüche 15 bis 20, wobei die Diffusion der Dotieratome durch das Ausglühen von mindestens dem Abschnitt der Polysiliziumschicht erzeugt wird.
  22. Verfahren nach einem der Ansprüche 14 bis 21, wobei die Dotieratome Donatoren mit tiefem Energieniveau aufweisen; wobei vorzugsweise die Donatoren mit tiefem Energieniveau aus einer Gruppe ausgewählt werden, die aus Selen, Schwefel und Stickstoff besteht.
DE201410114532 2013-10-08 2014-10-07 Verfahren zum Herstellen von Polysilizium-Widerständen Withdrawn DE102014114532A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/048,173 US9634081B2 (en) 2013-10-08 2013-10-08 Methods for producing polysilicon resistors
US14/048,173 2013-10-08

Publications (1)

Publication Number Publication Date
DE102014114532A1 true DE102014114532A1 (de) 2015-04-09

Family

ID=52693405

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201410114532 Withdrawn DE102014114532A1 (de) 2013-10-08 2014-10-07 Verfahren zum Herstellen von Polysilizium-Widerständen

Country Status (3)

Country Link
US (2) US9634081B2 (de)
CN (1) CN104517811B (de)
DE (1) DE102014114532A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015112919B4 (de) * 2015-08-06 2019-12-24 Infineon Technologies Ag Halbleiterbauelemente, eine Halbleiterdiode und ein Verfahren zum Bilden eines Halbleiterbauelements
US10422818B2 (en) * 2017-12-30 2019-09-24 Texas Instruments Incorporated Power transistors with a resistor coupled to a sense transistor
US10679938B2 (en) * 2018-07-31 2020-06-09 Texas Instruments Incorporated Power transistor coupled to multiple sense transistors

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3082923B2 (ja) * 1989-12-26 2000-09-04 ソニー株式会社 半導体装置の製法
US5240500A (en) * 1990-05-07 1993-08-31 Retti Kahrl L Gypsum based wallboard taping composition
SE511816C3 (sv) 1996-06-17 2000-01-24 Ericsson Telefon Ab L M Resistor innefattande en resistorkropp av polykristallint kisel samt foerfarande foer framstaellning av en saadan
JP4222841B2 (ja) * 2003-01-15 2009-02-12 三洋電機株式会社 半導体装置の製造方法
US7314829B2 (en) * 2004-08-16 2008-01-01 Intel Corporation Method and apparatus for polysilicon resistor formation
US7105912B2 (en) * 2004-09-15 2006-09-12 United Microelectronics Corp. Resistor structure and method for manufacturing the same
US7285472B2 (en) 2005-01-27 2007-10-23 International Business Machines Corporation Low tolerance polysilicon resistor for low temperature silicide processing
US7241663B2 (en) * 2005-04-19 2007-07-10 Texas Instruments Incorporated Maskless multiple sheet polysilicon resistor
JP2007123632A (ja) * 2005-10-28 2007-05-17 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7910450B2 (en) * 2006-02-22 2011-03-22 International Business Machines Corporation Method of fabricating a precision buried resistor
JP2010021294A (ja) * 2008-07-09 2010-01-28 Nec Electronics Corp 半導体装置の製造方法
US7879666B2 (en) * 2008-07-23 2011-02-01 Freescale Semiconductor, Inc. Semiconductor resistor formed in metal gate stack
US20100078727A1 (en) * 2008-10-01 2010-04-01 Min Byoung W eFuse and Resistor Structures and Method for Forming Same in Active Region
US8072049B2 (en) * 2009-04-24 2011-12-06 Fairchild Semiconductor Corporation Polysilicon drift fuse
US20100327370A1 (en) 2009-06-26 2010-12-30 Chia-Hong Jan Non-planar embedded polysilicon resistor
JP5601026B2 (ja) * 2010-05-21 2014-10-08 富士通セミコンダクター株式会社 半導体装置の製造方法
US20120199188A1 (en) 2011-02-09 2012-08-09 Alta Devices, Inc. Metal contact formation and window etch stop for photovoltaic devices
US8716827B2 (en) * 2012-09-11 2014-05-06 Texas Instruments Incorporated Diffusion resistor with reduced voltage coefficient of resistance and increased breakdown voltage using CMOS wells
US8927385B2 (en) * 2012-12-17 2015-01-06 Texas Instruments Incorporated ZTCR poly resistor in replacement gate flow
US8940612B2 (en) * 2012-12-28 2015-01-27 Texas Instruments Incorporated Poly resistor for metal gate integrated circuits

Also Published As

Publication number Publication date
US9634081B2 (en) 2017-04-25
CN104517811A (zh) 2015-04-15
US20150099341A1 (en) 2015-04-09
CN104517811B (zh) 2018-01-19
US20170194417A1 (en) 2017-07-06

Similar Documents

Publication Publication Date Title
DE112005003893B3 (de) Halbleiterbauelement und Verfahren zur Herstellung desselben
DE102006055068B4 (de) Halbleitervorrichtung mit verbessertem Bipolartransistor mit isoliertem Gate und Verfahren zu ihrer Herstellung
DE102006019935B4 (de) SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
DE2160427C3 (de)
DE102007057728B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einer Kurzschlusstruktur
DE19744687A1 (de) Feldeffekttransistor und Herstellungsverfahren desselben
DE112011105826B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung selbiger
DE102013007215A1 (de) Leistungsvorrichtung und Herstellungsverfahren hierfür
DE102010039258A1 (de) Transistorbauelement mit reduziertem Kurzschlussstrom
DE102017126853B4 (de) Halbleitervorrichtung mit Puffergebiet
DE202015105413U1 (de) Integrierte, floatende Diodenstruktur
DE102014114532A1 (de) Verfahren zum Herstellen von Polysilizium-Widerständen
DE102008035537B4 (de) Halbleitervorrichtung und Verfahren zu ihrer Bildung
DE102019105812A1 (de) Grabenstruktur enthaltende halbleitervorrichtung und herstellungsverfahren
DE102016114913A1 (de) Leistungs-Mosfets und Verfahren zu deren Herrstellung
DE102013108707B4 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE102010064287A1 (de) Zuverlässige Einbettung von Metallsilizidkontaktgebieten in stark dotierten Drain- und Sourcegebieten durch eine Stoppimplantation
DE112016001599B4 (de) Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung
DE102018123439B4 (de) Leistungshalbleitertransistor, Verfahren zum Verarbeiten eines Leistungshalbleitertransistors und Verfahren zum Produzieren eines Leistungshalbleitertransistors
DE102014113215B4 (de) Halbleitervorrichtung und verfahren zur ausbildung einer halbleitervorrichtung
DE2060348C3 (de) Verfahren zur Herstellung einer Halbleiteranordnung
DE102016102070B4 (de) Ein Verfahren zum Bilden eines Halbleiterbauelements und ein Halbleiterbauelement
DE112021000055T5 (de) Halbleitervorrichtung
DE112010005265T5 (de) DMOS-Transistor mit erhöhter Durchbruchsspannung und Verfahren zur Herstellung.
DE102011002479A1 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit integriertem Lateralwiderstand

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027080000

Ipc: H01L0021822000

R016 Response to examination communication
R016 Response to examination communication
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee