DE102009021485A1 - Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist - Google Patents

Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist Download PDF

Info

Publication number
DE102009021485A1
DE102009021485A1 DE102009021485A DE102009021485A DE102009021485A1 DE 102009021485 A1 DE102009021485 A1 DE 102009021485A1 DE 102009021485 A DE102009021485 A DE 102009021485A DE 102009021485 A DE102009021485 A DE 102009021485A DE 102009021485 A1 DE102009021485 A1 DE 102009021485A1
Authority
DE
Germany
Prior art keywords
semiconductor
forming
gate electrode
transistor
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102009021485A
Other languages
English (en)
Other versions
DE102009021485B4 (de
Inventor
Andy Wei
Andrew Waite
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102009021485.2A priority Critical patent/DE102009021485B4/de
Priority to US12/759,785 priority patent/US8298885B2/en
Priority to PCT/US2010/033967 priority patent/WO2010132283A1/en
Priority to CN201610012016.3A priority patent/CN105575903A/zh
Priority to CN2010800261143A priority patent/CN102460683A/zh
Priority to TW099114739A priority patent/TWI525794B/zh
Publication of DE102009021485A1 publication Critical patent/DE102009021485A1/de
Priority to US13/628,468 priority patent/US8742513B2/en
Application granted granted Critical
Publication of DE102009021485B4 publication Critical patent/DE102009021485B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

In einem Halbleiterbauelement mit komplexen Metallgatestrukturen mit großem ε, die gemäß einem Austauschgateansatz hergestellt sind, werden halbleiterbasierte Widerstände über Isolationsstrukturen gebildet, ohne dass der Austauschgateablauf wesentlich beeinflusst wird. Folglich kann eine bessere Flächeneffizienz im Vergleich zu konventionellen Strategien erreicht werden, in denen die Widerstandsstrukturen auf der Grundlage eines Gateelektrodenmetalls vorgesehen werden müssen, wobei dennoch eine geringe parasitäre Kapazität auf Grund des Vorsehens der Widerstandsstruktur über der Isolationsstruktur erreicht wird.

Description

  • Gebiet der vorliegenden Erfindung
  • Die vorliegende Erfindung betrifft allgemein das Gebiete der Herstellung integrierter Schaltungen und betrifft insbesondere Widerstände, die über Isolationsstrukturen in komplexen integrierten Schaltungen hergestellt sind.
  • Beschreibung des Stands der Technik
  • In modernen integrierten Schaltungen ist eine sehr große Anzahl einzelner Schaltungselemente, etwa Feldeffekttransistoren in Form von CMOS-, NMOS-, PMOS-Elementen, Widerständen, Kondensatoren und dergleichen, auf einer einzelnen Chipfläche aufgebaut. Typischerweise werden die Strukturgrößen dieser Schaltungselemente mit der Einführung jeder neuen Schaltungsgeneration reduziert, so dass aktuell verfügbare integrierte Schaltungen mit einem hohen Leistungsvermögen im Hinblick auf Geschwindigkeit und/oder Leistungsaufnahme bereitgestellt werden. Eine Verringerung der Größe von Transistoren ist ein wichtiger Aspekt beim ständigen Verbessern der Bauteileigenschaften komplexer integrierter Schaltungen, etwa von CPU's. Die Verringerung der Größe zieht üblicherweise eine erhöhte Schaltgeschwindigkeit nach sich, wodurch die Signalverarbeitung verbessert wird.
  • Zusätzlich zu der großen Anzahl an Transistorelementen wird eine Vielzahl passiver Schaltungselemente, etwa Kondensatoren und Widerstände typischerweise in integrierten Schaltungen hergestellt, wie dies durch den grundlegenden Schaltungsaufbau erforderlich ist. Auf Grund der geringeren Abmessungen der Schaltungselemente wird nicht nur das Leistungsverhalten der einzelnen Transistorelemente verbessert, sondern auch ihre Packungsdichte nimmt deutlich zu, wodurch die Möglichkeit geschaffen wird, zusätzliche Funktionen in eine gegebene Chipfläche zu integrieren. Aus diesem Grunde wurden sehr komplexe Schaltungen entwickelt, die unterschiedliche Arten an Schaltungen aufweisen können, etwa analoge Schaltungen, Digitalschaltungen und dergleichen, wodurch gesamte Systeme auf einem einzelnen Chip (SOC) bereitgestellt werden.
  • Obwohl Transistorelemente das vorherrschende Schaltungselement in sehr komplexen integrierten Schaltungen sind und im Wesentlichen das Gesamtverhalten dieser Bauelemente bestimmen, sind andere Komponenten, etwa Kondensatoren und Widerstände, erforderlich, wobei die Größe dieser passiven Schaltungselemente ebenfalls im Hinblick auf die Größenreduzierung der Transistorelemente anzupassen ist, um nicht in unerwünschter Weise wertvolle Chipfläche zu verbrauchen. Jedoch müssen die passiven Schaltungselemente, etwa die Widerstände, mit einem hohen Grad an Genauigkeit bereitgestellt werden, um die geringen Toleranzen entsprechend dem grundlegenden Schaltungsaufbau zu erfüllen. Beispielsweise müssen selbst im Wesentlichen digitalen Schaltungsaufbauten entsprechende Widerstandswerte innerhalb eng gesetzter Toleranzbereiche vorgesehen werden, um nicht in unerwünschter Weise zu Betriebsinstabilitäten und/oder einer größeren Signalausbreitungsverzögerung beizutragen. Beispielsweise werden in komplexen Anwendungen Widerstände häufig in Form von „integrierten Polysiliziumwiderständen” vorgesehen, die über Isolationsstrukturen hergestellt werden, so dass der gewünschte Widerstandswert erreicht wird, ohne dass eine wesentlich höhere parasitäre Kapazität erzeugt wird, wie dies der Fall sein kann in „vergrabenen” Widerstandsstrukturen, die in der aktiven Halbeiterschicht hergestellt werden. Ein typischer Polysiliziumwiderstand erfordert daher das Abscheiden des grundlegenden Polysiliziummaterials, was häufig mit dem Abscheiden eines Polysilizium-Gateelektrodenmaterials für die Transistorelemente kombiniert wird. Während der Strukturierung der Gateelektrodenstrukturen werden auch die Widerstände hergestellt, deren Größe wesentlich von dem zu Grunde liegenden spezifischen Widerstandswert des Polysiliziummaterials und der nachfolgenden Art an Dotierstoffmaterial und Konzentration abhängt, das in die Widerstände eingebaut wird, um den Widerstandswert einzustellen. Da typischerweise der Widerstandswert des dotierten Polysiliziummaterials als eine nicht lineare Funktion der Dotierstoffkonzentration in Erscheinung tritt, sind typischerweise spezielle Implantationsprozesse erforderlich unabhängig von anderen Implantationssequenzen, um die Eigenschaften des Polysiliziummaterials der Gateelektroden der Transistoren einzustellen, was somit zu einem moderat hohen Grad an Komplexität der Fertigungssequenz führt.
  • Des weiteren hat das zunehmende Bestreben zum Verringern der Strukturgrößen komplexer integrierter Schaltungen zu einer Gatelänge von Feldeffekttransistoren von ungefähr 50 nm und weniger geführt. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte „pn-Übergänge”, die durch eine Grenzfläche stark dotierter Gebiete, die als „Draingebiet” und „Sourcegebiet” bezeichnet werden, mit einem leicht dotierten oder nicht dotierten Gebiet gebildet sind, das als ein „Kanalgebiet” bezeichnet wird, das zwischen den stark dotierten Gebieten angeordnet ist. In einem Feldeffekttransistor ist die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, durch eine Gateelektrode gesteuert, die benachbart zu dem Kanalgebiet angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration der Drain- und Sourcegebiete, der Beweglichkeit der Ladungsträger und für eine gegebene Transistorbreite von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als „Kanallänge” bezeichnet wird.
  • Gegenwärtig beruhen die meisten komplexen integrierten Schaltungen auf Silizium auf Grund der nahezu unbegrenzten Verfügbarkeit, den gut verstandenen Eigenschaften des Siliziums und der zugehörigen Materialien und Prozesse und auf Grund der Erfahrung, die über die letzten 50 Jahre gewonnen wurde. Daher bleibt Silizium mit hoher Wahrscheinlichkeit das Material der Wahl für künftige Schaltungsgenerationen. Ein Grund für die große Bedeutung des Siliziums für die Herstellung von Halbleiterbauelementen sind die guten Eigenschaften einer Silizium/Siliziumdioxidgrenzfläche, die eine zuverlässige elektrische Isolation unterschiedlicher Gebiete voneinander ermöglicht. Die Silizium/Siliziumdioxidgrenzfläche ist bei hohen Temperaturen stabil und ermöglicht damit das Ausführen von Hochtemperaturprozessen, wie sie typischerweise für Ausheizprozesse erforderlich sind, um die Dotierstoffe zu aktivieren und um Kristallschäden auszuheilen, ohne die elektrischen Eigenschaften der Grenzfläche zu beeinträchtigen. Folglich ist Siliziumdioxid das bevorzugte Material als Gateisolationsschicht in Feldeffekttransistoren, die die Gateelektrode, die häufig aus Polysilizium aufgebaut ist, von dem Kanalgebiet trennt. Bei einer weiteren Bauteilskalierung erfordert jedoch die Verringerung der Kanallänge eine entsprechende Anpassung der Dicke des Siliziumdioxid-Gatedielektrikums, um das sogenannte „Kurzkanalverhalten” im Wesentlichen zu vermeiden, auf Grund dessen eine Variabilität in der Kanallänge einen wesentlichen Einfluss auf die resultierende Schwellwertspannung des Transistors ausgeübt wird. Aggressiv skalierte Transistorbauelemente mit einer moderat geringen Versorgungsspannung und damit mit einer geringen Schwellwertspannung zeigen daher ein signifikantes Ansteigen des Leckstromes, was durch die geringere Dicke einer Siliziumdioxidgatedielektrikumsschicht hervorgerufen wird. Beispielsweise erfordert eine Kanallänge von ungefähr 0,08 μm ein Gatedielektrikum aus Siliziumdioxid mit einer Dicke von ungefähr 1,2 nm, um die erforderliche kapazitive Kopplung zwischen der Gateelektrode und dem Kanalgebiet beizubehalten. Obwohl Hochgeschwindigkeitstransistorelemente mit einem extrem kurzen Kanal im Allgemeinen vorzugsweise in Hochgeschwindigkeitssignalpfaden eingesetzt werden, während Transistorelemente mit einem längeren Kanal für weniger kritische Signalwege genutzt werden (beispielsweise werden derartige weniger kritische Transistoren als Speichertransistoren eingesetzt), kann der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern durch das sehr dünne Siliziumdioxid-Gatedielektrikum des Hochgeschwindigkeitstransistors hervorgerufen wird, Werte für eine Oxiddicke im Bereich von 1 bis 2 nm erreichen und ist damit nicht mit den thermischen Entwurfserfordernissen für gewisse Arten komplexer integrierter Schaltungssysteme verträglich.
  • Aus diesem Grunde wurde das Setzen des Siliziumdioxids als Material für Gateisolationsschichten insbesondere für anspruchsvolle Anwendungen in Betracht gezogen. Mögliche alternative Materialien sind solche, die eine deutlich höhere Permittivität besitzen, so dass eine physikalisch größere Dicke einer entsprechend gebildeten Gateisolationsschicht für eine kapazitive Kopplung sorgt, die durch eine extrem dünne Siliziumdioxidschicht erreicht würde. Es wurde vorgeschlagen, Siliziumdioxid durch Materialien mit hoher Permittivität zu ersetzen, etwa durch Tantaloxid, Strontiumtitanoxid, Hafniumoxid, Hafniumsiliziumoxid, Zirkonoxid und dergleichen.
  • Des weiteren kann das Transistorleistungsverhalten verbessert werden, indem ein geeignetes leitendes Material für die Gateelektrode vorgesehen wird, um das für gewöhnlich verwendete Polysiliziummaterial zu ersetzen, da Polysilizium eine Ladungsträgerverarmung in der Nähe der Grenzfläche zeigt, die zwischen dem Gatedielektrikumsmaterial und dem Polysiliziummaterial angeordnet ist, wodurch die effektive Kapazität zwischen dem Kanalgebiet und der Gateelektrode während des Transistorbetriebs verringert wird. Somit wurde ein Gatestapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε für eine große Kapazität sorgt, während zusätzlich Leckströme auf einem akzeptablen Niveau gehalten werden. Da das nicht-Polysiliziumaterial, etwa Titannitrid und dergleichen, so gebildet wird, dass es direkt mit dem Gatedielektrikumsmaterial in Kontakt ist, kann die Anwesenheit der Verarmungszone somit vermieden werden, während gleichzeitig eine moderat hohe Leitfähigkeit erreicht wird.
  • Bekanntlich hängt die Schwellwertspannung des Transistors von der gesamten Transistorstruktur, von einem komplexen lateralen und vertikalen Dotierstoffprofil der Drian- und Sourcegebiete und dem entsprechenden Aufbau der pn-Übergänge und auch von der Austrittsarbeit des Gateelektrodenmaterials ab. Folglich muss zusätzlich zum Vorsehen der gewünschten Dotierstoffprofile die Austrittsarbeit des metallenthaltenden Gateelektrodenmaterials in geeigneter Weise in Bezug auf die Leitfähigkeitsart des betrachteten Transistors angepasst werden. Aus diesem Grunde werden typischerweise metallenthaltende Elektrodenmaterialien für n-Kanaltransistoren und p-Kanaltransistoren verwendet, die gemäß gut etablierter Fertigungsstrategien in einer sehr weit fortgeschrittenen Fertigungsphase vorgesehen werden. D. h., in diesem Vorgehensweisen wird das dielektrische Material mit großem ε in Verbindung mit einer geeigneten metallenthaltenden Deckschicht, etwa mit Titannitrid, und dergleichen vorgesehen, woran sich das Abscheiden eines Polysiliziummaterials in Verbindung mit anderen Materialien anschließt, wenn diese erforderlich sind, die dann strukturiert werden, um eine Gateelektrodenstruktur zu schaffen. Gleichzeitig werden entsprechende Widerstände strukturiert, wie dies zuvor beschrieben ist. Daraufhin wird die grundlegende Transistorstruktur fertiggestellt, indem Drain- und Sourcegebiete hergestellt werden, Ausheizprozesse ausgeführt und schließlich die Transistoren in einem dielektrischen Material eingebettet werden. Daraufhin wird eine geeignete Ätzsequenz ausgeführt, in der die oberen Flächen der Gateelektrodenstrukturen und aller Widerstandsstrukturen freigelegt werden und das Polysiliziummaterial wird entfernt. Danach werden auf der Grundlage eines entsprechenden Maskierungsschemas geeignete metallenthaltende Elektrodenmaterialien in die Gateelektrodenstrukturen von n-Kanaltransistoren bzw. p-Kanaltransistoren eingefüllt, um eine verbesserte Gatestruktur mit einem Gateisolationsmaterial mit großem ε in Verbindung mit einem metallenthaltenden Elektrodenmaterial zu erhalten, das für eine geeignete Austrittsarbeit für n-Kanaltransistoren bzw. p-Kanaltransistoren sorgt. Gleichzeitig erhalten auch die Widerstandsstrukturen das metallenthaltende Elektrodenmaterial. Auf Grund der besseren Leitfähigkeit des metallenthaltenden Elektrodenmaterials weisen auch die Widerstandsstrukturen einen deutlich geringeren Widerstandswert auf, wodurch eine Verringerung der Linienbreiten dieser Strukturen und/oder eine Zunahme der gesamten Länge dieser Strukturen erforderlich ist. Während die zuerst genannten Maßnahmen zu einem Strukturierungsproblem führt, da einige äußerst geringe Linienbreiten erforderlich sind, führt der zuletzt genannte Aspekt möglicherweise zu einem erhöhten Verbrauch an wertvoller Chipfläche. Aus diesem Grund werden in einigen konventionellen Vorgehensweisen die Widerstandsstrukturen in dem aktiven Halbleitermaterial hergestellt, anstatt dass diese Widerstandsstrukturen über den Isolationsstrukturen bei gleichzeitiger Strukturierung mit den Gateelektrodenstrukturen der Transistoren vorgesehen werden, was jedoch zu einem geringeren Leistungsverhalten auf Grund einer erhöhten parasitären Kapazität dieser Widerstandsstrukturen führen kann.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Erfindung Halbleiterbauelemente und Fertigungstechniken, in denen Widerstandsstrukturen zusammen mit komplexen Gateelektrodenstrukturen aufgebaut werden, während eines oder mehrere der oben erkannten Probleme vermieden oder zumindest reduziert werden.
  • Überblick über die Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung Halbleiterbauelemente und Verfahren zur Herstellung dieser Elemente, in denen integrierte Widerstandselemente auf der Grundlage eines geeigneten Halbleitermaterials, etwa mit Siliziummaterial, einem Silizium/Germanium-Material, einem Germaniummaterial und dergleichen hergestellt werden, während komplexe Metallgatestrukturen für die Transistoren vorgesehen werden. Zu diesem Zweck werden die Gateelektrodenstrukturen auf der Grundlage eines gewünschten Halbleitermaterials vorgesehen, wie es für die Widerstände zu verwenden ist, und das Halbleitermaterial wird durch ein oder mehrere metallenthaltende Gateelektrodenmaterialien in einer sehr fortgeschrittenen Fertigungsphase ersetzt, während die Widerstände in geeigneter Weise maskiert sind, um damit das Halbleitermaterial darin im Wesentlichen zu bewahren. Folglich kann ein sogenannter „Austauschgate”-Ansatz effizient eingesetzt werden, um komplexe Metallgatestapel mit großem ε zu erhalten, während gleichzeitig halbleiterbasierte Widerstandsstrukturen auf der Isolationsstruktur geschaffen werden können, wodurch für ein besseres Kapazitätsverhalten der Widerstandsstruktur gesorgt ist, ohne dass wertvolle Chipfläche verbraucht wird. In einigen anschaulichen Ausführungsformen wird das gleichzeitige Bereitstellen eines komplexen Metallgatestapels und einer halbleiterbasierten Widerstandsstruktur bewerkstelligt, ohne dass zusätzliche Prozesskomplexität entsteht, beispielsweise im Hinblick auf zusätzliche Photolithographieschritte, da die Maskierung der Widerstandsstruktur in einer späteren Fertigungsphase kompensiert oder sogar überkompensiert werden kann, indem eine entsprechende Maskierung der Widerstandsstruktur vor der Herstellung eines Metallsilizidmaterials in den Drain- und Sourcegebieten des Transistors vermieden wird. Ferner wird das Halbleitermaterial, etwa Polysiliziummaterial, mit einem geeigneten Dotierstoffpegel und einer geeigneten Dotierstoffart in einer Anfangsphase des Fertigungsprozesses bereitgestellt, wodurch ebenfalls zusätzliche Maskierungsschritte vermieden werden, die typischerweise in konventionellen Strategien anzuwenden sind, wenn Polysiliziumgates in Verbindung mit Polysilizium-Widerstandsstrukturen vorgesehen werden. Ferner wird in einigen anschaulichen hierin offenbarten Aspekten die Fertigung von halbleiterbasierten Widerstandsstrukturen vorteilhafter Weise in einem Fertigungsablauf zur Verbesserung des Transistorleistungsverhaltens integriert, indem ein oder mehrere zusätzliche leistungssteigernde Mechanismen, etwa das Bereitstellen von verspannungsinduzierenden dielektrischen Schichten über den Transistorstrukturen und/oder das Herstellen einer eingebetteten verformungsinduzierenden Halbleiterlegierung in zumindest einer Art an Transistoren angewendet werden.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst ein Transistorelement mit einer Gateelektrodenstruktur, die ein Gatedielektrikumsmaterial mit großem ε und ein metallenthaltendes Gateelektrodenmaterial aufweist, das über dem Gatedielektrikumsmaterial mit großem ε ausgebildet ist. Ferner ist ein Widerstand über einer Isolationsstruktur gebildet und umfasst ein dotiertes Halbleitermaterial.
  • Ein anschauliches hierin offenbartes Verfahren betrifft das Herstellen einer Widerstandsstruktur eines Halbleiterbauelements. Das Verfahren umfasst das Bilden eines Schichtstapels über einem Transistorgebiet und einem Widerstandsgebiet des Halbleiterbauelements, wobei der Schichtstapel ein dielektrisches Material mit großem ε, ein metallenthaltendes Deckmaterial und ein Halbleitermaterial aufweist. Des weiteren umfasst das Widerstandsgebiet eine Isolationsstruktur. Ferner umfasst das Verfahren das Einstellen eines Dotierstoffpegels in dem Halbleitermaterial, um einen Sollwiderstandswert für die Widerstandsstruktur zu erreichen. Das Verfahren umfasst weiterhin das Bilden einer Austauschgateelektrodenstruktur in dem Transistorgebiet und das Bilden der Widerstandsstruktur in dem Transistorgebiet über der Isolationsstruktur auf der Grundlage des Schichtstapels. Schließlich umfasst das Verfahren das Ersetzen des Halbleitermaterials selektiv in der Austauschgateelektrodenstruktur, während das Halbleitermaterial in der Widerstandsstruktur im Wesentlichen beibehalten wird.
  • Ein weiteres anschaulich hierin offenbartes Verfahren umfasst das Herstellen einer Austauschgateelektrodenstruktur über einem aktiven Halbleitergebiet und das Bilden einer Widerstandsstruktur über einer Isolationsstruktur, wobei die Austauschgateelektrodenstruktur und die Widerstandsstruktur ein Halbleitermaterial mit einem spezifizierten Dotierstoffpegel zum Erreichen eines Sollwiderstandswertes für die Widerstandsstruktur aufweisen. Das Verfahren umfasst ferner das Bilden einer Maske, um die Widerstandsstruktur abzudecken und die Austauschgateelektrodenstruktur freizulegen.
  • Ferner umfasst das Verfahren das Entfernen des Halbleitermaterials selektiv von der Austauschgateelektrodenstruktur und das Bilden eines metallenthaltenden Gateelektrodenmaterials über der Austauschgateelektrodenstruktur und der Widerstandsstruktur. Schließlich umfasst das Verfahren das Entfernen von überschüssigem Material des metallenthaltenden Elektrodenmaterials, um eine Metallgateelektrodenstruktur bereitzustellen.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a schematisch eine Querschnittsansicht eines Halbleiterbauelements in einer frühen Fertigungsphase zeigt, in der ein Schichtstapel mit einem dielektrischen Material mit großem ε und einem Halbleitermaterial über einem Transistorgebiet und einem Widerstandsgebiet gemäß anschaulicher Ausführungsformen gebildet ist;
  • 1b schematisch eine Querschnittsansicht eines Halbleiterbauelements gemäß anschaulicher Ausführungsformen zeigt, in denen Austauschgateelektrodenstrukturen über den Transistorgebieten gebildet sind und eine Widerstandsstruktur über dem Widerstandsgebiet ausgebildet ist und wobei einer der Transistoren eine verformungsinduzierende Halbleiterlegierung erhält;
  • 1c bis 1e schematisch Querschnittsansichten des Halbleiterbauelements während diverser Fertigungsphasen bei der Fertigstellung der grundlegenden Transistorstruktur auf der Grundlage einer Austauschgateelektrodenstruktur gemäß anschaulicher Ausführungsformen zeigen;
  • 1f bis 1j schematisch das Halbleiterbauelement während diverser Fertigungsphasen beim Bereitstellen zumindest eines Teils eines dielektrischen Zwischenschichtmaterials und beim Ersetzen des Halbleitermaterials selektiv in den Austauschgateelektrodenstrukturen zeigen, wobei das Halbleitermaterial in der Widerstandsstruktur gemäß anschaulicher Ausführungsformen beibehalten wird; und
  • 1k und 1l schematisch Querschnittsansichten des Halbleiterbauelements in einer frühen Fertigungsphase zeigen, in der zumindest ein metallenthaltendes Deckmaterial, das über dem dielektrischen Material mit großem ε gebildet ist, selektiv über dem Widerstandsgebiete vor dem Abscheiden des Halbleitermaterials gemäß noch weiterer anschaulicher Ausführungsformen entfernt wird.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Offenbarung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen betrifft die vorliegende Erfindung Halbleiterbauelemente und Verfahren zu deren Herstellung, wobei Widerstandselemente auf der Grundlage eines Halbleitermaterials, mit Silizium, Silizium/Germanium und dergleichen, in Verbindung mit komplexen metallenthaltenden Gateelektrodenstrukturen von Transistoren hergestellt werden, wobei die Widerstandsstrukturen auf Isolationsstrukturen hergestellt werden, um ein besseres Leistungsverhalten im Hinblick au die parasitäre Kapazität zu erreichen. Gemäß den hierin offenbarten Prinzipien beruht die Fertigungssequenz zum Erzeugen der Transistorstrukturen auf dem Halbleitermaterial, das eine geeignete Dotierstoffsorte aufweist, die zu einem gewünschten Dotierpegel führt, so dass zunächst eine Austauschgateelektrodenstruktur und eine Widerstandsstruktur geschaffen werden. In einer fortgeschrittenen Fertigungsphase wird das Halbleitermaterial selektiv in den Gateelektrodenstrukturen durch geeignet gewählte metallenthaltende Gateelektrodenmaterialien ersetzt, während andererseits das Halbleitermaterial in der Widerstandsstruktur beibehalten wird. In einigen anschaulichen hierin offenbarten Aspekten werden spezielle Maskierungsschritte zum Vermeiden der Silizidierung in dem Halbleitermaterial während des Prozesses zur Herstellung eines Metallsilizids in den Drian- und Sourcegebieten der Transistoren vermieden, wodurch zu einem besseren gesamten Fertigungsablauf beigetragen wird. Nach der Fertigstellung der grundlegenden Transistorstruktur wird ein Maskierungsschema angewendet, um selektiv das Halbleitermaterial in den Austauschgateelektrodenstruktur der Einwirkung einer Ätzumgebung zu unterziehen, um darin das Halbleitermaterial zu entfernen und um ein geeignetes metallenthaltendes Material zur Einstellung der Austrittsarbeit in Verbindung mit einem Elektrodenmaterial zu bilden. Ferner ist in einigen anschaulichen hierin offenbarten Aspekten das Vorsehen der halbleiterbasierten Widerstandsstrukturen kompatibel mit dem Einbau weiterer leistungssteigender Mechanismen, etwa das Vorsehen von verspannungsinduzierenden dielektrischen Materialien über den Transistoren, dem Einbetten einer verformungsinduzierenden Halbleiterlegierung und dergleichen. Im Vergleich zu konventionellen Strategien wird somit eine halbleiterbasierte Widerstandsstruktur mit geringer Kapazität auf der Oberseite einer Isolationsstruktur bereitgestellt, wodurch unerwünschte strukturierungsabhängige Probleme und/oder der Verbrauch von Chipfläche vermieden werden, was typischerweise in konventionellen Strategien auftritt, in denen das Halbleitermaterial der Widerstandsstrukturen zusammen mit dem Halbleitermaterial der Austauschgateelektrodenstrukturen entfernt wird.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, über welchem eine Halbleiterschicht 103 gebildet ist. Das Substrat 101 repräsentiert ein beliebiges geeignetes Trägermaterial, um darüber die Halbleiterschicht 103 zu bilden. Wie zuvor erläutert ist, repräsentiert die Halbleiterschicht 103 ggf. ein siliziumbasiertes Material, das auch andere Komponenten, etwa Germanium, Kohlenstoff und dergleichen aufweisen kann, wozu auch spezielle Dotierstoffsorten hinzukommen, die bereits in der Halbleiterschicht 103 enthalten sein können oder die darin in einer späteren Fertigungsphase eingebaut werden. Es sollte jedoch beachtet werden, dass die hierin offenbarten Prinzipien auch auf andere Halbleitermaterialien angewendet werden können, etwa Germanium, Halbleiterverbindungen und dergleichen. Ferner kann das Bauelemente 100 eine „Vollsubstratkonfiguration” repräsentieren, in der die Halbleiterschicht 103 einen Teil eines kristallinen Substratmaterials über dem Substrat 101 repräsentiert. In anderen Fällen wird eine vergrabene isolierende Schicht 102 zwischen dem Substrat 101 und der Halbleiterschicht 103 zumindest in einigen Bauteilgebieten vorgesehen, wodurch eine SOI-(Halbleiter-auf-Isolator-)Konfiguration geschaffen wird. Ferner sind in der Halbleiterschicht 103 geeignete Isolationsstrukturen 103c, 103d ausgebildet, beispielsweise auf der Grundlage von Siliziumdioxid, Siliziumnitrid oder anderen gewünschten isolierenden Materialien. In der gezeigten Ausführungsform wird die Halbleiterschicht 103 so betrachtet dass diese ein erstes Bauteilgebiet 110 aufweist, das auch als ein Transistorgebiet bezeichnet wird und ferner ein zweites Bauteilgebiet 120 besitzt, das auch als Widerstandsgebiet bezeichnet wird, und das im Wesentlichen durch die Isolationsstruktur 103b begrenzt ist. Ferner umfasst das Transistorgebiet 110 aktive Gebiete 103a, 103b, die möglicherweise durch die Isolationsstruktur 103c getrennt sind, wobei ein aktives Gebiet als ein Halbleitergebiet der Schicht 103 zu verstehen ist, in und über welchem zumindest ein Transistorelement herzustellen ist. Beispielsweise besitzt das aktive Gebiet 103a eine geeignete Grunddotierung, die mit der Herstellung eines n-Kanaltransistors kompatibel ist, während das aktive Gebiet 103b das aktive Gebiet eines p-Kanaltransistors repräsentiert. In der in 1a gezeigten Fertigungsphase ist ferner ein Schichtstapel 130 über dem Transistorgebiet 110 und dem Widerstandsgebiet 120 vorgesehen und umfasst eine Gatedielektrikumsschicht 131, eine metallenthaltende Deckschicht 132, ein Halbeitermaterial 123 und eine dielektrische Deckschicht 134. Wie zuvor erläutert ist, kann das Gatedielektrikumsmaterial 131 ein dielektrisches Material mit großem ε aufweisen, beispielsweise in Form eines der zuvor genannten Materialien, wobei bei Bedarf zusätzlich ein weiteres „konventionelles” dielektrisches Material in der Schicht 131 vorgesehen werden kann. Z. B. umfasst das Gatedielektrikumsmaterial 131 eine sehr dünne Siliziumdioxidschicht gefolgt von einem dielektrischen Material mit großem ε. Unabhängig von dem Aufbau der Schicht 131 wird im Weiteren diese Schicht als ein dielektrisches Material mit großem ε bezeichnet, solange das dielektrische Material mit einer Dielektrizitätskonstante von ungefähr 10,0 oder höher in der Schicht 131 eingebaut ist. Die metallenthaltende Deckschicht 132 kann direkt auf der Schicht 131 hergestellt sein und kann als eine Deckschicht für die weitere Bearbeitung des Bauelements 100 dienen und kann auch ein metallenthaltendes Material in Verbindung mit zumindest einem weiteren metallenthaltenden Material dienen, das in einer späteren Fertigungsphase vorzusehen ist. Beispielsweise ist die Deckschicht 132 aus Titannitrid und dergleichen aufgebaut. Beispielsweise wird das Gatedielektrikumsmaterial 131 mit einer Dicke im Bereich von 1,5 bis mehrere Nanometer abhängig von den gesamten Bauteilerfordernissen bereitgestellt, während die metallenthaltende Deckschicht 132 eine Dicke von 1 bis mehrere Nanometer aufweist. Abhängig von der Art des für die Schicht 132 verwendeten Materials und dessen Dicke kann ein entsprechender Schichtwiderstand in einigen anschaulichen Ausführungsformen in Betracht gezogen werden, wenn eine Widerstandsstruktur in dem Widerstandsgebiet 120 hergestellt wird, indem der Dotierstoffpegel des Halbleitermaterials 133 geeignet angepasst wird. Wenn etwa moderat geringer Widerstand der Widerstandsstruktur in anschaulichen Ausführungsformen zu erreichen ist, werden die Schichten 131 und 132 in der Widerstandsstruktur beibehalten und eine spezielle Anpassung des Dotierstoffpegels wird ausgeführt, wobei der Widerstandswert der Schicht 132 berücksichtigt wird. Beispielsweise besitzt eine Titannitridschicht mit einer Dicke von 1 bis 2 nm einen Schichtwiderstand von mehreren 100 Ohm × Mikrometer. In anderen anschaulichen Ausführungsformen, wie sie nachfolgend detaillierter beschrieben sind, wird zumindest die Schicht 122 entfernt oder anderweitig selektiv in dem Gebiet 120 modifiziert, wenn ein entsprechender Schichtwiderstand der Schicht 132 für eine Widerstandsstruktur als ungeeignet erachtet wird. Gemäß einigen anschaulichen Ausführungsformen wird daher ein interner Dotierstoffpegel des Halbleitermaterials 132 geeignet ausgewählt, um den gewünschten Sollwiderstandswert des Schichtstapels 130 zu erreichen. Beispielsweise ist das Halbleitermaterial 133 aus Siliziummaterial in einem polykristallinen Zustand oder in einem amorphen Zustand aufgebaut, während der weiteren Bearbeitung in einen polykristallinen Zustand übergeführt wird. In anderen Fällen wird, wenn ein höherer Dotierstoffpegel für ein siliziumbasiertes Material vorgesehen ist, eine gewisse Menge an Germanium in das Material 133 eingebaut, um den Gesamtbasisschichtwiderstandswert zu verringern, wenn dies als geeignet erachtet wird. Es sollte beachtet werden, dass die Eigenschaften des Halbleitermaterials 133 speziell im Hinblick auf die Widerstandsstruktur ausgewählt sind, die in dem Widerstandsgebiet 120 herzustellen ist, ohne dass ein Unterschied zwischen den Gebieten 110 und 120 erforderlich ist, da das Material 133 von dem Gebiet 110 in einer späteren Fertigungsphase entfernt wird. In einigen anschaulichen Ausführungsformen wird das Halbleitermaterial 123 als ein n-dotiertes Siliziummaterial oder als eine n-dotierte Silizium/Germanium-Mischung vorgesehen, was vorteilhaft ist im Hinblick auf einen chemischen Abtragungsprozess, da eine chemische Mittel bessere Prozessbedingungen zum Entfernen eines n-dotierten siliziumdotierten Materials im Vergleich zu einem p-dotierten Siliziummaterial bieten. Ferner wird die Deckschicht 134 beispielsweise im Hinblick auf das Strukturieren des Schichtstapels 130 vorgesehen und als ein Deckmaterial während der weiteren Bearbeitung, wie dies in Verbindung mit 1b beschrieben ist. Beispielsweise wird die Schicht 134 in Form eines Siliziumnitridmaterials beispielsweise in Verbindung mit anderen Materialien, etwa Siliziumdioxid, und dergleichen vorgesehen.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach der Herstellung der Isolationsstrukturen 103c, 103d in der Halbleiterschicht 103, was bewerkstelligt werden kann durch gut etablierte komplexe Lithographietechniken, Ätzprozesse, Abscheideprozesse, Einebnungsprozesse und dergleichen, wird die grundlegende Dotierung der aktiven Gebiete 103a, 103b durch gut bewährte Implantationsverfahren erzeugt. Daraufhin wird das Gatedielektrikumsmaterial 131 etwa auf der Grundlage komplexer Oxidationsverfahren, etwa thermischer Oxidation, chemischer Oxidation und dergleichen hergestellt, wenn die Schicht 131 auf der Grundlage eines konventionellen siliziumdioxidbasierten Materials gebildet wird. Daraufhin wird ein gewünschtes dielektrisches Material mit großem ε abgeschieden, etwa Hafniumoxid und dergleichen, mit einer gewünschten Dicke, woran sich das Abscheiden der metallenthaltenden Deckschicht 132 anschließt, wodurch das empfindliche dielektrische Material mit großem ε in der Schicht 131 eingeschlossen wird. Als nächstes wird das Halbleitermaterial 133 abgeschieden, beispielsweise durch Niederdruck-CVD-(chemische Dampfabscheidung) und dergleichen, wobei in einer anschaulichen Ausführungsform das Material 133 als ein in-situ-dotiertes Halbleitermaterial abgeschieden wird, d. h. die gewünschte Konzentration einer Dotierstoffsorte wird der Abscheideumgebung zugesetzt, um für den gewünschten Dotierpegel zu sorgen. Danach werden die eine oder die mehreren Deckschichten 134 mittels einer geeigneten Abscheidetechnik aufgebracht. In anderen anschaulichen Ausführungsformen wird der gewünschte Dotierstoffpegel in dem Material 133 auf der Grundlage eines Implantationsprozesses erhalten, der als ein nicht-maskierter Implantationsprozess ausgeführt wird, um eine Dotierstoffkonzentration einzubauen, wie sie für die Widerstandsstrukturen erforderlich ist, die in dem Bauteilgebiet 120 zu bilden sind. Der Implantationsprozess kann nach dem Abscheiden der Deckschicht 134 ausgeführt werden.
  • 1b zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 in einer weiter fortgeschrittenen Fertigungsphase gemäß anschaulicher Ausführungsformen, in denen zumindest eine Art an Transistoren eine eingebettete verformungsinduzierende Halbleiterlegierung erhält, um damit das gesamte Leistungsverhalten des Transistors zu verbessern, wie dies auch zuvor erläutert ist. Wie gezeigt, sind Gateelektrodenstrukturen 130a, 130b über den aktiven Gebieten 103a, 103b gebildet, während ein Widerstand oder eine Widerstandsstruktur 130c über der Isolationsstruktur 103d gebildet ist. Die Gateelektrodenstrukturen 130a, 130b können auch als „Austauschgateelektrodenstrukturen” bezeichnet werden, da das Halbleitermaterial 133 in einer späteren Fertigungsphase entfernt wird. In der gezeigten Ausführungsform wird eine Maskenschicht 104, beispielsweise aus Siliziumnitrid und dergleichen, so gebildet, dass die Gateelektrodenstruktur 130a und die Widerstandsstruktur 130c abgedeckt sind, während die Elektrodenstruktur 130b an den Seitenwänden ein Abstandshalterelement 104s aufweist. Des weiteren ist eine Ätzmaske, etwa eine Lackmaske 105, über dem Bauelement 100 so ausgebildet, dass ein Transistor 150a, d. h. das aktive Gebiet 103a und die Elektrodenstruktur 130a, abgedeckt sind, während ein Transistor 150b, d. h. das aktive Gebiet 103b und die Elektrodenstruktur 130b freiliegen. Des weiteren ist die Widerstandsstruktur 130c ebenfalls die Maske 105 abgedeckt.
  • Das in 1b gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Der Schichtstapel 130 (siehe 1a) wird auf der Grundlage komplexer Lithographie- und Ätztechniken gemäß entsprechender Entwurfsregeln strukturiert, um eine gewünschte Länge der Gateelektrodenstrukturen 130a, 130b zu erhalten und um eine gewünschte Linienbreite für die Widerstandsstruktur 130c zu erzeugen. Daraufhin wird die Maskenschicht 104 abgeschieden, beispielsweise durch thermisch aktivierte CVD-Techniken in Form eines Siliziumnitridmaterials, wodurch das empfindliche Material 131 an Seitenwänden der Gateelektrodenstrukturen 130a, 130b und der Widerstandsstruktur 130c zuverlässig eingeschlossen wird. In einigen anschaulichen Ausführungsformen werden eine Dicke und die Materialeigenschaften der Maskenschicht 104 so ausgewählt, dass ein gewünschter Abstand von Aussparungen 103r, die in dem aktiven Gebiet 103b zu bilden sind, erreicht wird, um darin eine eingebettete verformungsinduzierende Halbleiterlegierung herzustellen. Ferner können die Breite und die Materialeigenschaften der Maskenschicht 104 so ausgewählt werden, dass diese als ein Versatzabstandshalter dient, möglicherweise in Verbindung mit einem zusätzlichen Material, um Drain- und Sourceerweiterungsgebiete mittels Ionenimplantation in einer weiter fortgeschrittenen Fertigungsphase zu bilden. Nach dem Abscheiden der Maskenschicht 104 wird die Ätzmaske 105 gebildet, etwa auf der Grundlage gut etablierter Lithographietechniken. Als nächstes wird ein anisotroper Ätzprozess ausgeführt, so dass der freiliegende Bereich der Maskenschicht 104 geätzt wird, wodurch der Seitenwandabstandshalter 104s der Gateelektrodenstruktur 130b geschaffen wird. Danach wird die Ätzchemie in geeigneter Weise angepasst, um in das aktive Gebiet 103b zu ätzen, um die Aussparungen 103r zu bilden.
  • 1c zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, umfasst der Transistor 150b eine verformungsinduzierende Halbleiterlegierung 108, die in Form einer Silizium/Germanium-Legierung, einer Silizium/Kohlenstoff-Legierung, einer Silizium/Germanium/Zinn-Legierung und dergleichen in Abhängigkeit von der Art der zu erzeugenden Verformung bereitgestellt wird. Beispielsweise repräsentiert das Material 108 eine Silizium/Germanium-Legierung, wobei die kompressive Verformung als vorteilhaft zur Leistungssteigerung des Transistors 150b erachtet wird. Ferner können die Seitenwandabstandshalter 104s ebenfalls an Seitenwänden der Elektrodenstruktur 130a und der Widerstandsstruktur 130c gebildet werden.
  • Das Bauelement 100, wie es in 1c gezeigt ist, kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach der Herstellung der Aussparungen 103r (siehe 1b) wird die Ätzmaske 105 (siehe 1b) abgetragen und das Bauelement 100 wird für das Abscheiden des verformungsinduzierenden Halbleitermaterials 108 vorbereitet. Daraufhin wird ein selektiver epitaktischer Aufwachsprozess auf der Grundlage gut etablierter Prozessrezepte ausgeführt, wobei eine merkliche Materialabscheidung auf freiliegende Bereiche des aktiven Gebiets 103b beschränkt ist. In einigen anschaulichen Ausführungsformen wird die weitere Bearbeitung fortgesetzt, indem eine Maske 106 gebildet wird, die den Transistor 150b abdeckt, während der Transistor 150a und die Widerstandsstruktur 130c freiliegen. In anderen anschaulichen Ausführungsformen (nicht gezeigt) wird die Maskenschicht aus 1b in Verbindung mit dem Abstandshalter 104s und den Deckschichten 134 entfernt, wenn dies als geeignet erachtet wird. In der gezeigten Ausführungsform wird jedoch ein Ätzprozess 107 so ausgeführt, dass die Abstandshalterelemente 104s erhalten werden, die in der weiteren Bearbeitung verwendet werden, wie dies zuvor erläutert ist.
  • Es sollte beachtet werden, dass die Gateelektrodenstrukturen 130a, 130b in Verbindung mit der Widerstandsstrumktur 130c ebenfalls hergestellt werden können, ohne dass die Halbleiterlegierung 108 bereitgestellt wird, in welchem Falle die Abstandshalterelemente 104s gemeinsam für alle Komponenten 150a, 150b und 130c hergestellt werden.
  • 1d zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der die Transistoren 150a, 150b Drain- und Sourcegebiete 151 möglicherweise in Verbindung mit der verformungsinduzierenden Halbleiterlegierung 108 im Transistor 150b aufweisen, wie dies zuvor beschrieben ist. Des weiteren enthalten die Gateelektrodenstrukturen 130a, 130b und die Widerstandsstruktur 130c eine zusätzliche Abstandshalterstruktur 135, die aus einer beliebigen geeigneten Materialverbindung aufgebaut ist, beispielsweise einem Siliziumdioxidmaterial in Verbindung mit Siliziumnitrid, und dergleichen.
  • Das Halbleiterbauelement 100 kann auf der Grundlage einer Prozesssequenz hergestellt werden, wobei Drain- und Sourceerweiterungsgebiete durch Ionenimplantation hergestellt werden können, in der die Abstandshalterelemente 104s als effiziente Versatzabstandshalterelemente eingesetzt werden. Wie zuvor beschrieben ist, sind typischerweise komplexe Dotierstoffprofile erforderlich, so dass sogenannte „Halo-Implantationsgebiete” oder gegendotierte Gebiete in der Nähe des pn-Übergangs der Drain- und Sourcegebiete 151 erzeugt werden, um damit ein gewünschtes Dotierstoffprofil und einen Gradienten gemäß den Transistorerfordernissen zu schaffen. Daraufhin wird die Abstandshalterstruktur 135 auf der Grundlage gut etablierter Techniken hergestellt und nachfolgend werden weitere Implantationsprozesse ausgeführt, um die Drain- und Sourcegebiete 151 zu erhalten. Daraufhin werden die Dotierstoffe aktiviert und durch Implantation hervorgerufene Schäden werden rekristallisiert, indem ein oder mehrere geeignete Ausheizrprozesse ausgeführt werden.
  • 1e zeigt schematisch das Halbleiterbauelement 100 in einer Fertigungsphase, in der Metallsilizidgebiete 152 in den Drain- und Sourcegebieten 151 der Transistoren 150a, 150b gebildet sind. In der gezeigten Ausführungsform ist die Deckschicht 134 weiterhin in den Gateelektrodenstrukturen 130a, 130b und der Widerstandsstruktur 130c vorhanden. Folglich wird während einer entsprechenden Silizidierungsbasis ein geeignetes hochschmelzendes Metall, etwa Nickel, Platin, und dergleichen aufgebracht und wird nachfolgend wärmebehandelt, um eine chemische Reaktion mit einer Siliziumsorte in Gang zu setzen, wobei das Halbleitermaterial 133 der Strukturen 130a, 130b und 130c von der Deckschicht 134 geschützt wird. Folglich kann eine entsprechende Silizidierungsstrategie speziell so gestaltet werden, dass bessere Bedingungen für die Herstellung der Metallsilizidgebiete 152 erreich wird. Auf Grund der Anwesenheit der Deckschicht 134 wird eine Silizidierung der Widerstandsstruktur 130c zuverlässig vermieden, so dass in einigen anschaulichen Ausführungsformen eine speziell gestaltete Maskenschicht nicht erforderlich ist, wenn eine Silizidierung der Widerstandsstruktur 130c auf Grund der höheren Leitfähigkeit des Metallsilizidmaterials im Vergleich zu dem Halbleitermaterial 133 nicht gewünscht ist. Daher wird in konventionellen Vorgehensweisen eine geeignete Silizidierungsmaske typischerweise bereitgestellt, die beispielsweise so ausgerichtet ist, dass Kontaktbereiche definiert werden, während der eigentliche Widerstandskörper der entsprechenden Widerstandsstruktur abgedeckt ist. In der gezeigten Ausführungsform werden entsprechende Kontaktbereiche durch das Material 133 ohne ein Metallsilizid bereitgestellt, wodurch zu einer besseren Gesamteffizienz und Prozessgleichmäßigkeit beigetragen wird.
  • 1f zeigt schematisch das Halbleiterbauelement 100 in einem Zustand, in welchem zumindest ein Teil eines dielektrischen Zwischenschichtmaterials 140 über den Transistoren 150a, 150b und der Widerstandsstruktur 130c gebildet ist. Beispielsweise enthält das dielektrische Zwischenschichtmaterial 140 in einigen anschaulichen Ausführungsformen eine verspannungsinduzierende dielektrische Schicht 141a, die über dem Transistor 150a gebildet ist, und enthält eine zweite verspannungsinduzierende dielektrische Schicht 141b, die über dem Transistor 150b gebildet ist, wobei eine der Schichten 141a, 141b auch über der Widerstandsstruktur 130c gebildet sein kann. Wie zuvor erläutert ist, kann das Leistungsverhalten von Transistoren erhöht werden, indem eine spezielle Art an Verformung darin erzeugt wird. Da die Schichten 141a, 141b sehr nahe an den Transistoren 150a bzw. 150b angeordnet sind, kann somit ein hoher innerer Verspannungspegel dieser Schichten das Leistungsverhalten der Transistoren 150a, 150b verbessern. In der gezeigten Ausführungsform erzeugen die Schichten 141a, 141b unterschiedliche Arten an innerer Verspannung, etwa eine Zugverspannung für den Transistor 150a und eine kompressive Verspannung für den Transistor 150b, wenn diese einen n-Kanaltransistor bzw. einen p-Kanaltransistor repräsentieren. Wie zuvor beschrieben ist, kann der Transistor 150b ferner die verformungsinduzierende Halbleiterlegierung 108 bei Bedarf aufweisen. In anderen Fällen werden die Schichten 141a, 141b mit unterschiedlichen Verspannungspegeln bereitgestellt, etwa als stark kompressiv verspannte Schicht oder zugverspannte Schicht in Verbindung mit einer im Wesentlichen verspannungsneutralen Schicht, wobei dies von der gesamten Prozessstrategie abhängt. Des weiteren kann das Material 140 ein Füllmaterial 142, etwa Siliziumdioxidmaterial aufweisen, das auf der Grundlage einer beliebigen geeigneten Abscheidetechnik aufgebracht wird. Das Material 140 wird so vorgesehen, dass es zuverlässig Zwischenräume zwischen den Transistoren 150a, 150b und der Widerstandsstruktur 150c auffüllt.
  • 1g zeigt schematisch das Halbleiterbauelement 100 während eines Materialabtragungsprozesses 109, während welchem das dielektrische Zwischenschichtmaterial 140 eingeebnet wird. Beispielsweise umfasst in einigen anschaulichen Ausführungsformen der Materialabtragungsprozess 109 einen CMP-(chemisch-mechanischen Polier-)Prozess oder eine Sequenz, in der in einem ersten Schrittmaterial der Schicht 142 abgetragen wird, wobei gut etablierte Prozessrezepte angewendet werden können, die einen gewissen Grad an Selektivität in Bezug auf das Material der Schichten 141a, 141b besitzen, falls dies erforderlich ist. Beim Freilegen der Materialien 141a, 141b wird folglich ein anderes Polierrezept, beispielsweise eine anderer Art Schleifmaterial eingesetzt, um im Wesentlichen die gleiche Abtragsrate für die Materialien 142 und 141a, 141b zu erreichen. Während des Prozesses 109 wird somit der Oberflächenbereich 133s des Halbleitermaterials 133 in den Gateelektrodenstrukturen 130a, 130b und in der Widerstandsstruktur 130c freigelegt.
  • 1h zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der die Widerstandsstruktur 130c von einer Ätzmaske 112 abgedeckt ist, die beispielsweise in Form einer Lackmaske oder in Form eines anderen geeigneten Materials bereitgestellt wird. Die Maske 112 kann gemäß gut etablierter Lithographietechniken auf der Grundlage der eingeebneten Oberfläche, die durch den Materialabtragungsprozess 109 (siehe 1g) geschaffen wird, hergestellt werden. Das Halbleiterbauelement 100 wird der Einwirkung einer Ätzumgebung 111 ausgesetzt, die gestaltet ist, um das Halbleitermaterial 133 (siehe 1g) selektiv zu dem dielektrischen Zwischenschichtmaterial 140 und zu den Seitenwandabstandshaltern 104s abzutragen. Während des Ätzprozesses werden folglich Öffnungen 133, 133b in den Transistoren 150a, 150b erzeugt. Der Ätzprozess 111 wird beispielsweise als ein nasschemischer Ätzprozess unter Anwendung von etwa Flusssäure (HF) als Ätzchemie zum Entfernen von natürlichem Oxid ausgeführt, das sich auf dem Halbleitermaterial 133 gebildet haben kann, beispielsweise wenn das Material 123 aus einem wesentlichen Teil an Silizium aufgebaut ist. Daraufhin wird ein geeignetes nasschemisches oder plasmaunterstütztes Ätzrezept angewendet. Beispielsweise werden in einigen anschaulichen Ausführungsformen entsprechende basische Lösungen, beispielsweise in Form von Kaliumhydroxid und dergleichen eingesetzt. In einer anschaulichen Ausführungsform wird der Abtragungsprozess auf der Grundlage von Tetramethylammoniumhydroxid (TMAH) ausgeführt, das grundsätzlich ein Lackabtragungsmittel repräsentiert, das jedoch auch effizient zum Entfernen von Silizium oder Silizium/Germaniummischungen verwendet werden kann, wenn es in höheren Konzentrationen bei erhöhten Temperaturen eingesetzt wird. Beispielsweise liefern 50 Gewichtsprozent TMAH in Wasser bei ungefähr 80 Grad C ein effizientes Ätzmittel zum Entfernen von Siliziummaterial mit einem hohen Grad an Selektivität in Bezug auf Siliziumdioxid, Siliziumnitrid und auch Titannitrid, so dass der Abtragungsprozess zuverlässig in und auf der metallenthaltenden Deckschicht 132 gestoppt werden kann. Wie zuvor erläutert ist, wird in einigen anschaulichen Ausführungsformen das Halbleitermaterial 133 in Form eines Siliziummaterials und eines Silizium/Germanium-Materials mit einem moderat hohen Siliziumanteil bereitgestellt, wobei der Dotierstoffpegel auf der Grundlage einer n-Dotierstoffsorte eingestellt wird, die für bessere Ätzbedingungen während des Prozesses 111 sorgt, wenn TMAH verwendet wird. D. h. TMAH weist eine erhöhte Ätzrate und eine größere Selektivität für n-dotiertes Siliziummaterial im Vergleich zu p-dotiertem Siliziummaterial auf. Folglich kann die gesamte Prozesszuverlässigkeit und Gleichmäßigkeit verbessert werden, indem eine n-Dotierstoffsorte zum Einstellen des speziellen Widerstandswertes der Widerstandsstruktur 130c durch Einbau einer n-Dotierstoffsorte das Material 133 verwendet wird.
  • Nach der Herstellung der Öffnungen 123a, 123b werden geeignete metallenthaltende Materialien in diesen Öffnungen hergestellt, um damit gut leitende Gateelektrodenstrukturen für die Transistoren 150a, 150b zu erzeugen, wobei auch eine effiziente Einstellung der Schwellwertspannung dieser Transistoren unter Anwendung geeigneter Metalle für die Austrittsarbeit ermöglicht wird. Beispielsweise wird ein geeignetes metallenthaltendes Material verwendet, um eine gewünschte Schwellwertspannung für den Transistor 150b zu erhalten, was bewerkstelligt werden kann, indem Tantalnitrid, Titannitrid und dergleichen verwendet wird, wobei zwei oder mehr unterschiedliche Schichten bei Bedarf verwendet werden können. Daraufhin werden diese Materialien selektiv von dem Transistor 150a entfernt, woran sich das Abscheiden eines oder mehrerer metallenthaltender Materialien anschließt, um in geeigneter Weise die Schwellwertspannung dieses Transistors einzustellen. Daraufhin wird ein weiteres Elektrodenmaterial abgeschieden, um eine gut leitende Elektrodenstruktur zu erhalten. Es sollte jedoch beachtet werden, dass jede andere Strategie angewendet werden kann, um individueller Weise die Austrittsarbeit der Materialien in der Nähe der dielektrischen Schicht mit großem ε 131 einzustellen. Beispielsweise kann ein spezielles Material abgeschieden und darauf lokal behandelt werden, um dessen Eigenschaften zu modifizieren, so dass zwei unterschiedliche Austrittsarbeiten erhalten werden.
  • 1i zeigt schematisch das Halbleiterbauelement 100 nach der zuvor beschriebenen Prozesssequenz. Wie gezeigt, enthält das Bauelement 100 ein oder mehrere Materialien für die Austrittsarbeit 136, die zu einer gewünschten Austrittsarbeit für den Transistor 150b führen, wobei die Schicht 136 Tantalnitrid, Titannitrid und dergleichen aufweist. Wie gezeigt, ist die Schicht 136 auch über der Widerstandsstruktur 130c gebildet, wodurch eine Einwirkung der Ätzumgebung vermieden wird, die angewendet wird, um das Material 136 von dem Transistor 150a zu entfernen. Ferner ist ein weiteres metallenthaltendes Material 137, das ein Material für die Austrittsarbeit des Transistor 150a repräsentiert, in diesem Transistor vorgesehen und ist auf dem Material 136 und auch über der Widerstandsstruktur 130c gebildet. Beispielsweise enthält das Material 137 Titan, Aluminium und dergleichen, wobei der Transistor 150a einen n-Kanaltransistor repräsentiert, und der Transistor 150b einen p-Kanaltransistor repräsentiert. Es sollte jedoch beachtet werden, dass eine andere geeignete Kombination aus Materialien verwendet werden, wobei dies von der Leitfähigkeitsart der Transistoren 150a, 150b abhängt. Ferner ist ein Elektrodenmaterial 138, etwa Aluminium und dergleichen, so aufgebracht, dass es zuverlässige Öffnungen 133a, 133b füllt.
  • Das in 1i gezeigte Halbleitebauelement 100 kann auf der Grundlage einer Prozesssequenz hergestellt werden, wie sie zuvor beschrieben ist, wobei zu beachten ist, dass zusätzliche Prozessschritte zur Verbesserung der gesamten Prozesseffizient nach Bedarf angewendet werden können. Beispielsweise kann nach dem Abscheiden der einen oder der mehreren Schichten 136, die zwei oder mehr unterschiedliche Materialien aufweisen können, ein Maskenmaterial, ein Siliziumdioxidmaterial und dergleichen, aufgebracht werden und dieses kann nachfolgend strukturiert werden, um den Transistor 150b und die Widerstandsstruktur 130c abzudecken. Zu diesem Zweck können Lithographietechniken in Verbindung mit nasschemischen Ätzrezepten, etwa Flusssäure, eingesetzt werden, um den Transistor 150a freizulegen. Daraufhin wird mindestens eine Teilschicht des Materials 136 von dem Transistor 150a entfernt, etwa auf der Grundlage nasschemischer Ätzrezepte, woran sich das Abtragen der entsprechenden Maskenschicht über den Transistor 150b und der Widerstandsstruktur 130c anschließt. Daraufhin werden das eine oder die mehreren Materialien der Schicht 127 abgeschieden, woran sich das Abscheiden der Schicht 138 anschließt. Als nächstes, wie in 1i gezeigt ist, wird ein Materialabtragungsprozess 113 angewendet, etwa ein CMP-Prozess, um überschüssiges Material der Schichten 138, 137 und 136 abzutragen.
  • 1j zeigt schematisch das Halbleiterbauelement 100 nach der zuvor beschriebenen Prozesssequenz. Folglich enthalten die Transistoren 150a, 150b komplexe Gateelektrodenstrukturen 135a, 135b, während die Widerstandsstruktur 130c weiterhin das Halbleitermaterial 133 enthält. Wie gezeigt, umfasst die Gateelektrodenstruktur 135a das Elektrodenmaterial 138, etwa Aluminium, und das metallenthaltende Material 137, das in Verbindung mit der Deckschicht 132 zu einer gewünschten Austrittsarbeit führt. In ähnlicher Weise enthält die Gateelektrodenstruktur 135b Materialien 136, 137 in Verbindung mit dem Elektrodenmetall 138, wodurch in Verbindung mit der verbleibenden Deckschicht 132 eine geeignete Austrittsarbeit für den Transistor 150b festgelegt wird. Andererseits besitzt die Widerstandsstruktur 130c einen gut definierten Widerstandswert, der durch die Materialzusammensetzung des Halbleiters 133 und den darin enthaltenen Dotierstoffpegel und dem Widerstandswert der Deckschicht 132 bestimmt ist. Wie zuvor erläutert ist, kann, da die Schicht 132 eine geringe Dicke von ungefähr 1 bis mehrere nm besitzt, der resultierende Schichtwiderstand moderat hoch sein, so dass der Beitrag des Materials 133 gering ist, um eine effiziente Einstellung des gesamten Widerstandswerts der Struktur 130c bei einem moderat geringen Dotierstoffpegel möglich ist, so dass ein hohes Maß an Steuerbarkeit und Gleichmäßigkeit des Widerstandswerts der Struktur 130c erreicht wird. Des weiteren können Transistoren 150a, 150b gemäß einer Fertigungssequenz hergestellt werden, die für bessere Transistoreigenschaften, beispielsweise in dem ein oder mehrere verformungsinduzierende dielektrische Materialien verwendet werden, etwa die Schichten 141a, 141b, wobei auch in einem oder beiden Transistoren 150a, 150b ein verformungsinduzierendes eingebettetes Halbleitermaterial, etwa die Halbleiterlegierung 108 in dem Transistor 150b vorgesehen ist. Folglich kann eine weitere Größenreduzierung auf der Grundlage der komplexen Gateelektrodenstrukturen 125a, 125b erreicht werden, wobei auch die Widerstandsstruktur 130c in einem Zustand geringer Kapazität in platzsparender Weise vorgesehen wird, indem der Einbau des Elektrodenmetalls 128 vermieden wird.
  • Mit Bezug zu den 1k und 1l werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen zumindest die metallenthaltende Deckschicht in einer frühen Fertigungsphase selektiv in den Widerstandsgebiet entfernt wird, wenn der Schichtwiderstand als ungeeignet für die Widerstandsstruktur erachtet wird.
  • 1k zeigt schematisch das Halbleiterbauelement 100 in einer frühen Fertigungsphase, in der die Gatedielektrikumsschicht 131 mit dem Material mit großem ε in Verbindung mit der metallenthaltenden Deckschicht 132 über dem Transistorgebiet 110 und dem Widerstandsgebiet 120 abgeschieden ist. Ferner ist eine Ätzmaske 114 so vorgesehen, dass das Transistorgebiet 110 abgedeckt ist, während das Widerstandsgebiet 120 oder zumindest ein Teil davon, über welchem die Widerstandsstruktur zu bilden ist, freiliegt. Die Maske 114 kann in Form eines beliebigen geeigneten Materials vorgesehen werden, etwa als Lackmaterial, als Hartmaskenmaterial und dergleichen. Daraufhin wird der freiliegende Bereich zumindest der Schicht 132 durch geeignetes Ätzrezept, etwa durch nasschemische Ätzrezepte und dergleichen entfernt. In einigen anschaulichen Ausführungsformen wird auch Material 131 entfernt, wenn eine Modifizierung der Eigenschaft für die weitere Bearbeitung als ungeeignet erachtet wird. In anderen anschaulichen Ausführungsformen wird die Schicht 131 beibehalten, da eine entsprechende Modifizierung, beispielsweise der Einbau von Sauerstoff und dergleichen als akzeptabel erachtet wird für die weitere Bearbeitung und für die schließlich erreichten Eigenschaften der Widerstandsstruktur.
  • 1l zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der das Halbleitermaterial 133 über den Gebieten 110, 120 gebildet ist, wobei zumindest die Schicht 132 über dem Widerstandsgebiet 120 oder zumindest einen wesentlichen Teil davon entfernt ist. Im Hinblick auf das Abscheiden des Materials 133 und die Einstellung seines Dotierstoffpegels gelten die gleichen Kriterien, wie sie zuvor erläutert sind. Bei Bedarf kann Material 133 eingeebnete werden, um die Oberflächenbedingungen zu verbessern, wenn eine Differenz in der Höhe zwischen den Gebieten 110 und 120 auch für die weitere Bearbeitung als ungeeignet erachtet wird. Nachfolgend werden weitere Prozessschritte ausgeführt, wie sie zuvor erläutert sind, beispielsweise Abscheiden eines Deckmaterials und Strukturieren des resultierenden Schichtstapels, um die Austauschgateelektrodenstrukturen und eine Widerstandsstruktur zu erhalten, deren Widerstandswert somit vollständig durch die Geometrie der entsprechenden Struktur und dem spezifischen Widerstand des Materials 133 bestimmt ist.
  • Es gilt also: Die vorliegende Offenbarung stellt Halbleiterbauelemente und Fertigungstechniken bereit, in denen Widerstandsstrukturen auf der Grundlage eines Halbleitermaterials, etwa von Polysilizium, über Isolationsstrukturen in Verbindung mit komplexen Gateelektrodenstrukturen geschaffen werden können, die dielektrische Materialien mit großem ε mit metallenthaltenden Elektrodenmaterialien aufweisen. Folglich wird eine höhere Packungsdichte erreicht, ohne dass das Verhalten von Widerstandsstrukturen beeinträchtigt wird, wie dies konventioneller Weise hervorgerufen wird, indem die halbleiterbasierte Widerstände in das Halbleitersubstrat oder die aktive Halbleiterschicht von SOI-Halbleiterbauelementen integriert werden. Im Gegensatz zu anderen konventionellen Vorgehensweisen, in denen die Widerstandsstrukturen auf der Grundlage eines Metallelektrodenmaterials hergestellt werden, wie es in den komplexen Gateelektrodenstrukturen verwendet wird, ist gemäß den hierin offenbarten Prinzipien der Widerstandswert der Widerstandsstruktur durch das Halbleitermaterial bestimmt, d. h. durch die Zusammensetzung und der Dotierstoffpegel, wodurch die parasitäre Kapazität verringert wird, ohne dass wertvolle Substratfläche verbraucht wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Ausführungen zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschrieben Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (25)

  1. Halbleiterbauelement mit: einem Transistorelement mit einer Gateelektrodenstruktur, die ein Gatedielektrikumsmaterial mit großem ε und ein metallenthaltendes Elektrodenmaterial, das über dem Gatedielektrikumsmaterial mit großem ε gebildet ist, aufweist, und einem Widerstand, der über einer Isolationsstruktur gebildet ist und ein dotiertes Halbleitermaterial aufweist.
  2. Halbleiterbauelement nach Anspruch 1, wobei der Widerstand ferner eine Schicht eines metallenthaltenden Materials aufweist, das auf einer Schicht des Gatedielektrikumsmaterials mit großem ε gebildet ist.
  3. Halbleiterbauelement nach Anspruch 1, wobei das dotierte Halbleitermaterial auf einem isolierenden Material gebildet ist.
  4. Hableiterbauelement nach Anspruch 1, wobei das dotierte Halbleitermaterial Silizium umfasst.
  5. Halbleiterbauelement nach Anspruch 1, wobei das dotierte Halbleitermaterial Germanium umfasst.
  6. Halbleiterbauelement nach Anspruch 5, wobei ein Germaniumanteil des dotierten Halbeleitermaterials ungefähr 10 Atomprozent und mehr beträgt.
  7. Halbleiterbauelement nach Anspruch 7, wobei die Gateelektrodenstruktur eine Gatelänge von ungefähr 50 nm oder weniger aufweist.
  8. Halbleiterbauelement nach Anspruch 1, wobei das Transistorelement ferner eine verformungsinduzierende Halbleiterlegierung aufweist, die in einem aktiven Gebiet des Transistorelements gebildet ist.
  9. Halbleiterbauelement nach Anspruch 1, das ferner ein zweites Transistorelement mit einer zweiten Gateelektrodenstruktur aufweist, die das Gatedielektrikumsmaterial mit großem ε und ein zweites metallenthaltendes Elektrodenmaterial enthält, das sich von dem metallenthaltenden Elektrodenmaterial unterscheidet.
  10. Halbleiterbauelement nach Anspruch 9, das ferner eine erste verspannungsinduzierende dielektrische Schicht, die über dem Transistorelement gebildet ist, und eine zweite verspannungsinduzierende dielektrische Schicht aufweist, die über dem zweiten Transistorelement gebildet ist, wobei die erste und die zweite verspannungsinduzierende dielektrische Schicht jeweils eine unterschiedliche Art an Verspannung hervorrufen.
  11. Verfahren zum Herstellen einer Widerstandsstruktur eines Halbleiterbauelements, wobei das Verfahren umfasst: Bilden eines Schichtstapels über einem Transistorgebiet und einem Widerstandsgebiet des Halbleiterbauelements, wobei der Schichtstapel ein dielektrisches Material mit großem ε, ein metallenthaltendes Deckmaterial und ein Halbleitermaterial aufweist, und wobei das Widerstandsgebiet eine Isoalationsstruktur aufweist; Einstellen eines Dotierstoffpegels in dem Halbleitermaterial, um einen Sollwiderstandswert für die Widerstandsstruktur zu erhalten; Bilden einer Austauschgateelektrodenstruktur in dem Transistorgebiet und Bilden der Widerstandsstruktur in dem Widerstandsgebiet über der Isolationsstruktur auf der Grundlage des Schichtstapels; und Ersetzen des Halbleitermaterials selektiv in der Austauschgateelektrodenstruktur, während das Halbeleitermaterial in der Widerstandsstruktur im Wesentlichen beibehalten wird.
  12. Verfahren nach Anspruch 11, das ferner umfasst: Bilden eines Transistors auf der Grundlage der Austauschgateelektrodenstruktur, Bilden eines dielektrischen Materials über dem Transistor und der Widerstandsstruktur und selektives Ersetzen des Halbleitermaterials nach dem Bilden des dielektrischen Materials.
  13. Das Verfahren nach Anspruch 12, wobei Bilden des dielektrischen Materials umfasst: Abscheiden eines verspannungsinduzierenden Materials, um eine Verformung in einem Kanalgebiet des Transistors hervorzurufen.
  14. Verfahren nach Anspruch 12, das ferner umfasst: Bilden eines verformungsinduzierenden Gebiets in dem Transistorgebiet vor dem Bilden von Drain- und Sourcegebieten des Transistors.
  15. Verfahren nach Anspruch 11, wobei Einstellen des Dotierstoffpegels Dotieren des Halbleitermaterials während des Abscheidens umfasst.
  16. Verfahren nach Anspruch 11, wobei Einstellen des Dotierstoffpegels Ausführen eines nicht-maskierten Implantationsprozesses umfasst.
  17. Verfahren nach Anspruch 12, wobei Bilden des Schichtstapels umfasst: Bilden einer Deckschicht über dem Halbleitermaterial und Entfernen der Deckschicht nach dem Bilden von Metallsilizid in den Drain- und Sourcegebieten des Transistors.
  18. Verfahren nach Anspruch 11, wobei Bilden der Widerstandsstruktur umfasst: Bewahren des dielektrischen Materials mit großem ε und des metallenthaltenden Deckmaterials über der Isolationsstruktur.
  19. Verfahren nach Anspruch 11, wobei Bilden der Widerstandsstruktur umfasst: Entfernen zumindest des metallenthaltenden Deckmaterials von dem Widerstandsgebiet vor dem Bilden der Austauschgateelektrodenstruktur und der Widerstandsstruktur.
  20. Verfahren nach Anspruch 11, wobei Bilden des Schichtstapels umfasst: Abscheiden von Silizium und/oder Germanium als das Halbleitermaterial.
  21. Verfahren nach Anspruch 11, wobei Einstellen des Dotierstoffpegels umfasst: Einführen einer n-Dotierstoffsorte in das Halbleitermaterial.
  22. Verfahren mit: Bilden einer Austauschgateelektrodenstruktur über einem aktiven Halbleitergebiet und einer Widerstandsstruktur einer Isolationsstruktur, wobei die Austauschgateelektrodenstruktur und die Widerstandsstruktur ein Halbleitermaterial mit einem spezifizierten Dotierstoffpegel aufweisen, um einen Sollwiderstandswert für die Widerstandsstruktur zu erreichen; Bilden einer Maske, um die Widerstandsstruktur abzudecken und um die Austauschgateelektrodenstruktur freizulegen; Entfernen des Halbleitermaterials selektiv von der Austauschgateelektrodenstruktur; Bilden eines metallenthaltenden Elektrodenmaterials über der Austauschgateelektrodenstruktur und der Widerstandsstruktur; und Entfernen von überschüssigem Material des metallenthaltenden Elektrodenmaterials, um eine Metallgateelektrodenstruktur bereitzustellen.
  23. Verfahren nach Anspruch 22, das ferner umfasst: Bilden von Drain- und Sourcegebieten in den aktiven Gebieten vor dem Entfernen des Halbleitematerials.
  24. Verfahren nach Anspruch 23, das ferner umfasst: Bilden einer Deckschicht über dem Halbleitermaterial und Entfernen der Deckschicht von der Austauschgateelektrodenstruktur und der Widerstandsstruktur nach dem Bilden eines Metallsilizids in den Drain- und Sourcegebieten.
  25. Verfahren nach Anspruch 22, das ferner umfasst: Einstellen des Dotierstoffpegels durch Verwenden einer n-Dotierstoffsorte.
DE102009021485.2A 2009-05-15 2009-05-15 Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung Active DE102009021485B4 (de)

Priority Applications (7)

Application Number Priority Date Filing Date Title
DE102009021485.2A DE102009021485B4 (de) 2009-05-15 2009-05-15 Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung
US12/759,785 US8298885B2 (en) 2009-05-15 2010-04-14 Semiconductor device comprising metal gates and a silicon containing resistor formed on an isolation structure
CN201610012016.3A CN105575903A (zh) 2009-05-15 2010-05-07 形成半导体装置的电阻结构的方法
CN2010800261143A CN102460683A (zh) 2009-05-15 2010-05-07 包含金属栅极与形成于绝缘结构上的含硅电阻器的半导体装置
PCT/US2010/033967 WO2010132283A1 (en) 2009-05-15 2010-05-07 Semiconductor device comprising metal gates and a silicon containing resistor formed on an isolation structure
TW099114739A TWI525794B (zh) 2009-05-15 2010-05-10 包含金屬閘極及形成在隔離結構上之含矽電阻器的半導體裝置
US13/628,468 US8742513B2 (en) 2009-05-15 2012-09-27 Semiconductor device comprising metal gates and a silicon containing resistor formed on an isolation structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009021485.2A DE102009021485B4 (de) 2009-05-15 2009-05-15 Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung

Publications (2)

Publication Number Publication Date
DE102009021485A1 true DE102009021485A1 (de) 2010-11-18
DE102009021485B4 DE102009021485B4 (de) 2017-10-05

Family

ID=42979169

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009021485.2A Active DE102009021485B4 (de) 2009-05-15 2009-05-15 Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung

Country Status (5)

Country Link
US (2) US8298885B2 (de)
CN (2) CN102460683A (de)
DE (1) DE102009021485B4 (de)
TW (1) TWI525794B (de)
WO (1) WO2010132283A1 (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010001397A1 (de) * 2010-01-29 2011-08-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Halbleiterwiderstände, die in einem Halbleiterbauelement mit Metallgatestrukturen durch Verringern der Leitfähigleit eines metallenthaltenden Deckmaterials hergestellt sind
DE102010040058A1 (de) * 2010-08-31 2012-03-01 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Polysiliziumwiderstände, die in einem Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε hergestellt sind
US8735270B2 (en) 2009-10-30 2014-05-27 Globalfoundries Inc. Method for making high-K metal gate electrode structures by separate removal of placeholder materials
DE102010017245B4 (de) * 2009-06-05 2015-02-05 Infineon Technologies Ag Verfahren zum Herstellen von Halbkeiterbauellementen und Halbleiterbauelement

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5640379B2 (ja) 2009-12-28 2014-12-17 ソニー株式会社 半導体装置の製造方法
US8564066B2 (en) * 2010-06-18 2013-10-22 International Business Machines Corporation Interface-free metal gate stack
DE102010038737B4 (de) * 2010-07-30 2017-05-11 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und eingebetteten verformungsinduzierenden Halbleiterlegierungen
US8399310B2 (en) 2010-10-29 2013-03-19 Freescale Semiconductor, Inc. Non-volatile memory and logic circuit process integration
DE102010063907B4 (de) * 2010-12-22 2018-03-29 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren mit Deckschichtentfernung von Gateelektrodenstrukturen nach selektivem Bilden eines verformungsinduzierenden Halbleitermaterials
US8835246B2 (en) * 2011-02-25 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with resistors and methods of forming the same
US9287252B2 (en) * 2011-03-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mismatch reduction
US8563389B2 (en) * 2011-05-18 2013-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having silicon resistor and method of forming the same
DE102011080439B4 (de) * 2011-08-04 2018-11-15 Globalfoundries Inc. Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
CN103137657B (zh) * 2011-11-25 2016-08-31 中芯国际集成电路制造(上海)有限公司 半导体集成器件及其形成方法
CN103165601B (zh) * 2011-12-12 2015-12-09 中芯国际集成电路制造(北京)有限公司 集成半导体器件及其制造方法
US8906764B2 (en) 2012-01-04 2014-12-09 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US8658497B2 (en) 2012-01-04 2014-02-25 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US8669158B2 (en) 2012-01-04 2014-03-11 Mark D. Hall Non-volatile memory (NVM) and logic integration
US8951863B2 (en) 2012-04-06 2015-02-10 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and logic integration
US8722493B2 (en) 2012-04-09 2014-05-13 Freescale Semiconductor, Inc. Logic transistor and non-volatile memory cell integration
US9087913B2 (en) 2012-04-09 2015-07-21 Freescale Semiconductor, Inc. Integration technique using thermal oxide select gate dielectric for select gate and apartial replacement gate for logic
US8728886B2 (en) 2012-06-08 2014-05-20 Freescale Semiconductor, Inc. Integrating formation of a replacement gate transistor and a non-volatile memory cell using a high-k dielectric
US9576868B2 (en) * 2012-07-30 2017-02-21 General Electric Company Semiconductor device and method for reduced bias temperature instability (BTI) in silicon carbide devices
FR2995135B1 (fr) * 2012-09-05 2015-12-04 Commissariat Energie Atomique Procede de realisation de transistors fet
US9111865B2 (en) 2012-10-26 2015-08-18 Freescale Semiconductor, Inc. Method of making a logic transistor and a non-volatile memory (NVM) cell
US8716089B1 (en) * 2013-03-08 2014-05-06 Freescale Semiconductor, Inc. Integrating formation of a replacement gate transistor and a non-volatile memory cell having thin film storage
US8741719B1 (en) * 2013-03-08 2014-06-03 Freescale Semiconductor, Inc. Integrating formation of a logic transistor and a non-volatile memory cell using a partial replacement gate technique
US9006093B2 (en) 2013-06-27 2015-04-14 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high voltage transistor integration
US8877585B1 (en) 2013-08-16 2014-11-04 Freescale Semiconductor, Inc. Non-volatile memory (NVM) cell, high voltage transistor, and high-K and metal gate transistor integration
US9129996B2 (en) 2013-07-31 2015-09-08 Freescale Semiconductor, Inc. Non-volatile memory (NVM) cell and high-K and metal gate transistor integration
US8871598B1 (en) 2013-07-31 2014-10-28 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology
US9082837B2 (en) 2013-08-08 2015-07-14 Freescale Semiconductor, Inc. Nonvolatile memory bitcell with inlaid high k metal select gate
US9252246B2 (en) 2013-08-21 2016-02-02 Freescale Semiconductor, Inc. Integrated split gate non-volatile memory cell and logic device
US9082650B2 (en) 2013-08-21 2015-07-14 Freescale Semiconductor, Inc. Integrated split gate non-volatile memory cell and logic structure
US9275864B2 (en) 2013-08-22 2016-03-01 Freescale Semiconductor,Inc. Method to form a polysilicon nanocrystal thin film storage bitcell within a high k metal gate platform technology using a gate last process to form transistor gates
US8932925B1 (en) 2013-08-22 2015-01-13 Freescale Semiconductor, Inc. Split-gate non-volatile memory (NVM) cell and device structure integration
US9129855B2 (en) 2013-09-30 2015-09-08 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-first methodology
US9136129B2 (en) 2013-09-30 2015-09-15 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-k and metal gate integration using gate-last methodology
US8901632B1 (en) 2013-09-30 2014-12-02 Freescale Semiconductor, Inc. Non-volatile memory (NVM) and high-K and metal gate integration using gate-last methodology
US9231077B2 (en) 2014-03-03 2016-01-05 Freescale Semiconductor, Inc. Method of making a logic transistor and non-volatile memory (NVM) cell
US9472418B2 (en) 2014-03-28 2016-10-18 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9252152B2 (en) 2014-03-28 2016-02-02 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9112056B1 (en) 2014-03-28 2015-08-18 Freescale Semiconductor, Inc. Method for forming a split-gate device
US9379222B2 (en) 2014-05-30 2016-06-28 Freescale Semiconductor, Inc. Method of making a split gate non-volatile memory (NVM) cell
US9257445B2 (en) 2014-05-30 2016-02-09 Freescale Semiconductor, Inc. Method of making a split gate non-volatile memory (NVM) cell and a logic transistor
US9343314B2 (en) 2014-05-30 2016-05-17 Freescale Semiconductor, Inc. Split gate nanocrystal memory integration
DE102016114229B3 (de) 2016-08-01 2017-12-07 Infineon Technologies Austria Ag Transistorbauelement mit einer zwei schichten umfassenden feldelektrodeund sein herstellverfahren
JP2018056342A (ja) * 2016-09-29 2018-04-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9917103B1 (en) 2017-01-04 2018-03-13 Globalfoundries Inc. Diffusion break forming after source/drain forming and related IC structure
US11031478B2 (en) 2018-01-23 2021-06-08 Infineon Technologies Austria Ag Semiconductor device having body contacts with dielectric spacers and corresponding methods of manufacture
US10546853B2 (en) 2018-06-22 2020-01-28 Globalfoundries Inc. Metal resistors integrated into poly-open-chemical-mechanical-polishing (POC) module and method of production thereof
US11201091B2 (en) * 2020-03-30 2021-12-14 Nanya Technology Corporation Semiconductor structure implementing series-connected transistor and resistor and method for forming the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080206939A1 (en) * 2007-02-28 2008-08-28 Min Byoung W Semiconductor device with integrated resistive element and method of making
US20090039423A1 (en) * 2007-08-09 2009-02-12 Sony Corporation Semiconductor device and method of manufacturing the same
DE102008030852A1 (de) * 2008-06-30 2010-01-07 Advanced Micro Devices, Inc., Sunnyvale Kontaktgräben zur besseren Verspannungsübertragung in Transistoren mit geringem Abstand

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187653A (ja) 1997-09-09 1999-03-30 Fujitsu Ltd 半導体装置およびその製造方法
JP3023355B1 (ja) 1998-12-25 2000-03-21 松下電器産業株式会社 半導体装置及びその製造方法
US6406956B1 (en) * 2001-04-30 2002-06-18 Taiwan Semiconductor Manufacturing Company Poly resistor structure for damascene metal gate
US6657254B2 (en) * 2001-11-21 2003-12-02 General Semiconductor, Inc. Trench MOSFET device with improved on-resistance
JP2006032543A (ja) * 2004-07-14 2006-02-02 Seiko Instruments Inc 半導体集積回路装置
US8629021B2 (en) 2007-11-02 2014-01-14 Texas Instruments Incorporated Integration scheme for an NMOS metal gate
US8338245B2 (en) 2006-12-14 2012-12-25 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing stress-engineered spacers
JP2009026955A (ja) 2007-07-19 2009-02-05 Panasonic Corp 半導体装置及びその製造方法
US7749822B2 (en) 2007-10-09 2010-07-06 International Business Machines Corporation Method of forming a resistor and an FET from the metal portion of a MOSFET metal gate stack
US8153498B2 (en) * 2008-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Downsize polysilicon height for polysilicon resistor integration of replacement gate process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080206939A1 (en) * 2007-02-28 2008-08-28 Min Byoung W Semiconductor device with integrated resistive element and method of making
US20090039423A1 (en) * 2007-08-09 2009-02-12 Sony Corporation Semiconductor device and method of manufacturing the same
DE102008030852A1 (de) * 2008-06-30 2010-01-07 Advanced Micro Devices, Inc., Sunnyvale Kontaktgräben zur besseren Verspannungsübertragung in Transistoren mit geringem Abstand

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010017245B4 (de) * 2009-06-05 2015-02-05 Infineon Technologies Ag Verfahren zum Herstellen von Halbkeiterbauellementen und Halbleiterbauelement
DE102010017245B8 (de) * 2009-06-05 2015-04-16 Infineon Technologies Ag Verfahren zum Herstellen von Halbleiterbauelementen und Halbleiterbauelement
US9142547B2 (en) 2009-06-05 2015-09-22 Infineon Technologies Ag Methods of manufacturing resistors and structures thereof
US8735270B2 (en) 2009-10-30 2014-05-27 Globalfoundries Inc. Method for making high-K metal gate electrode structures by separate removal of placeholder materials
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
DE102010001397A1 (de) * 2010-01-29 2011-08-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Halbleiterwiderstände, die in einem Halbleiterbauelement mit Metallgatestrukturen durch Verringern der Leitfähigleit eines metallenthaltenden Deckmaterials hergestellt sind
DE102010040058A1 (de) * 2010-08-31 2012-03-01 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Polysiliziumwiderstände, die in einem Halbleiterbauelement mit Metallgateelektrodenstrukturen mit großem ε hergestellt sind

Also Published As

Publication number Publication date
CN102460683A (zh) 2012-05-16
US20130026581A1 (en) 2013-01-31
TW201115719A (en) 2011-05-01
DE102009021485B4 (de) 2017-10-05
US20100289080A1 (en) 2010-11-18
CN105575903A (zh) 2016-05-11
WO2010132283A1 (en) 2010-11-18
US8742513B2 (en) 2014-06-03
US8298885B2 (en) 2012-10-30
TWI525794B (zh) 2016-03-11

Similar Documents

Publication Publication Date Title
DE102009021485B4 (de) Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102010029527B4 (de) Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
DE102009010883B4 (de) Einstellen eines nicht-Siliziumanteils in einer Halbleiterlegierung während der FET-Transistorherstellung mittels eines Zwischenoxidationsprozesses
DE102008059647B3 (de) Verfahren zur Herstellung einer Gateelektrodenstruktur mit Erhöhung der Integrität eines Gatestapels mit großem ε durch Schützen einer Beschichtung an der Gateunterseite während des Freilegens der Gateoberseite
DE102009055437B4 (de) Halbleiterwiderstände, die in einem Halbleiterbauelement mit Metallgatestrukturen auf einer geringeren Höhe hergestellt sind und Verfahren zur Herstellung der Halbleiterwiderstände
DE102010001406B4 (de) Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
DE102009006886B4 (de) Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
DE102008059646B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements als Mehr-Gatetransistor mit Stegen mit einer Länge, die durch die Gateelektrode definiert ist und Halbleiterbauelement
DE102009006801B4 (de) Verfahren zur Herstellung eines Feldeffekt-Kurzkanaltransistors mit geringerer Längenfluktuation durch Verwenden eines amorphen Elektrodenmaterials während der Implantation
DE102010063296B4 (de) Herstellungsverfahren mit reduzierter STI-Topograpie für Halbleiterbauelemente mit einer Kanalhalbleiterlegierung
DE102009031155A1 (de) Gleichmäßige Metallgatestapel mit großem ε durch Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte vor der Gatestrukturierung
DE102010028465B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallgate und Halbleiterwiderständen, die auf der Grundlage eines Austauschgateverfahrens hergestellt sind
DE102009043328B4 (de) Herstellung von Halbleiterwiderständen in einem Halbleiterbauelement mit Metallgatestrukturen durch Erhöhen der Ätzwiderstandsfähigkeit der Widerstände
DE102009023298A1 (de) Verformungserhöhung in Transistoren mit einer eingebetteten verformungsinduzierenden Halbleiterlegierung durch Erzeugen von Strukturierungsungleichmäßigkeiten an der Unterseite der Gateelektrode
DE102008063432B4 (de) Verfahren zum Einstellen der Verformung, die in einem Transistorkanal eines FET hervorgerufen wird, durch für die Schwellwerteinstellung vorgesehenes Halbleitermaterial
DE102010063907B4 (de) Verfahren mit Deckschichtentfernung von Gateelektrodenstrukturen nach selektivem Bilden eines verformungsinduzierenden Halbleitermaterials
DE102008063402A1 (de) Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
DE102008011813B4 (de) Halbleiterbauelement mit einem Metallgatestapel mit reduzierter Höhe und Verfahren zur Herstellung des Bauelements
DE102010064291A1 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102010028459B4 (de) Reduzierte STI-Topographie in Metallgatetransistoren mit großem ε durch Verwendung einer Maske nach Abscheidung einer Kanalhalbleiterlegierung
DE102011080439B4 (de) Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
DE102011080438B3 (de) Herstellverfahren für einen N-Kanaltransistor mit einer Metallgateelektrodenstruktur mit großem ε und einem reduzierten Reihenwiderstand durch epitaktisch hergestelltes Halbleitermaterial in den Drain- und Sourcebereichen und N-Kanaltransistor

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LLC & CO. K, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LLC & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LLC & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE