DE102006019835A1 - Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist - Google Patents

Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist Download PDF

Info

Publication number
DE102006019835A1
DE102006019835A1 DE102006019835A DE102006019835A DE102006019835A1 DE 102006019835 A1 DE102006019835 A1 DE 102006019835A1 DE 102006019835 A DE102006019835 A DE 102006019835A DE 102006019835 A DE102006019835 A DE 102006019835A DE 102006019835 A1 DE102006019835 A1 DE 102006019835A1
Authority
DE
Germany
Prior art keywords
channel
silicon
longitudinal direction
transistor
orientation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102006019835A
Other languages
English (en)
Other versions
DE102006019835B4 (de
Inventor
Igor Peidous
Thorsten Kammler
Andy Wei
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Innovative Foundry Technologies Bv Nl
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102006019835A priority Critical patent/DE102006019835B4/de
Priority to US11/567,268 priority patent/US7767540B2/en
Priority to JP2009507691A priority patent/JP2009535808A/ja
Priority to KR1020087029317A priority patent/KR101132823B1/ko
Priority to PCT/US2007/007843 priority patent/WO2007130240A1/en
Priority to GB0819846A priority patent/GB2450838B/en
Priority to CN2007800148647A priority patent/CN101432882B/zh
Priority to TW096114197A priority patent/TWI409949B/zh
Publication of DE102006019835A1 publication Critical patent/DE102006019835A1/de
Priority to US12/821,308 priority patent/US8039878B2/en
Application granted granted Critical
Publication of DE102006019835B4 publication Critical patent/DE102006019835B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Abstract

Durch geeignetes Orientieren der Kanallängsrichtung in Bezug auf die kristallographischen Eigenschaften der Siliziumschicht können die verspannungsinduzierenden Wirkungen von verformten Silizium-Kohlenstoff-Material deutlich im Vergleich zu konventionellen Verfahren verbessert werden. In einer anschaulichen Ausführungsform ist der Kanal entlang der <100> Richtung bei einer (100) Oberflächenorientierung ausgerichtet, wodurch der Anstieg der Elektronenbeweglichkeit um ungefähr einen Faktor 4 möglich ist.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Transistoren mit verformten Kanalgebieten durch Anwendung von verformungsinduzierenden Quellen, etwa einer eingebetteten verformten Schicht in den Drain- und Sourcegebieten, um die Ladungsträgerbeweglichkeit in dem Kanalgebiet eines MOS-Transistors zu erhöhen.
  • Beschreibung des Stands der Technik
  • Die Herstellung integrierter Schaltungen erfordert das Ausbilden einer großen Anzahl von Schaltungselementen auf einer vorgegebenen Chipfläche gemäß einer spezifizierten Schaltungsanordnung. Im Allgemeinen werden eine Vielzahl von Prozesstechnologien aktuell eingesetzt, wobei für komplexe Schaltungen, etwa Mikroprozessoren, Speicherchips, und dergleichen die CMOS-Technologie gegenwärtig eine der vielversprechendsten Lösungsansätze auf Grund der überlegenen Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder die Leistungsaufnahme und/oder die Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung der CMOS-Technologie werden Millionen Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat gebildet, das eine kristalline Halbleiterschicht aufweist. Ein MOS-Transistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte PN-Übergänge, die durch eine Grenzfläche stark dotierter Drain- und Source-Gebiete mit einem invers dotierten Kanalgebiet, das zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist, gebildet werden. Die Leitfähigkeit des Kanalgebiets, d. h. das Durchlassstromvermögen des leitenden Kanals, wird durch eine Gateelektrode gesteuert, die in der Nähe des Kanalgebiets angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Ausbilden eines leitenden Kanals auf Grund des Anliegens einer geeigneten Steuerspannung an der Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Majoritätsladungsträger und – für eine vorgegebene Ausdehnung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit bestimmt in Verbindung mit der Fähigkeit, rasch einen leitenden Kanal unter der isolierenden Schicht beim Anlegen der Steuerspannung an die Gateelektrode zu bilden, die Gesamtleitfähigkeit des Kanalgebiets im Wesentlichen das Leistungsverhalten der MOS-Transistoren. Somit ist die Reduzierung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands – ein wesentliches Entwurfskriterium zum Erreichen einer Verbesserung der Arbeitsgeschwindigkeit integrierter Schaltungen.
  • Die ständig Abnahme der Transistorabmessungen bringt jedoch eine Reihe damit verknüpfter Probleme mit sich, etwa eine geringere Steuerbarkeit des Kanals, was auch als Kurzkanaleffekt bezeichnet wird, und dergleichen, die es zu lösen gilt, um nicht in unerwünschter Weise die durch das ständige Verringern der Kanallänge der MOS-Transistoren gewonnenen Vorteile aufzuheben. Da die ständige Größenreduzierung der kritischen Abmessungen, d. h. der Gatelänge der Transistoren, das Anpassen und möglicherweise die Neuentwicklung äußerst komplexer Prozessverfahren erfordert, um beispielsweise Kurzkanaleffekte zu kompensieren, wurde vorgeschlagen, die Kanalleitfähigkeit der Transistorelemente auch zu erhöhen, indem die Ladungsträgerbeweglichkeit in dem Kanalgebiet für eine gegebene Kanallänge vergrößert wird, wodurch die Möglichkeit zum Erreichen einer Leistungssteigerung geboten wird, die vergleichbar ist mit dem Fortschreiten zu einem neuen Technologiestandard, wobei viele der Probleme vermieden oder zumindest zeitlich hinausgeschoben werden, die bei den Prozessanpassungen entstehen, die mit der Bauteilgrößenreduzierung verknüpft sind.
  • Ein effizienter Mechanismus zum Erhöhen der Ladungsträgerbeweglichkeit ist die Modifizierung der Gitterstruktur in dem Kanalgebiet, indem beispielsweise eine Zugverspannung oder Druckverspannung in der Nähe des Kanalgebiets erzeugt wird, um damit eine entsprechende Verformung in dem Kanalgebiet hervorzurufen, die zu einer modifizierten Beweglichkeit für Elektronen bzw. Löcher führt. Beispielsweise kann eine kompressive Verformung in dem Kanalgebiet die Beweglichkeit von Löchern erhöhen, wodurch die Möglichkeit zur Leistungssteigerung von p-Transistoren geschaffen wird. Andererseits kann das Erzeugen einer Zugverformung in dem Kanalgebiet eines n-Kanaltransistors die Elektronenbeweglichkeit erhöhen. Die Einführung einer Verspannungs- oder Verformungsverfahrenstechnik in den Herstellungsablauf für integrierte Schaltungen ist ein äußerst vielversprechender Ansatz für weitere Bauteilgenerationen, da beispielsweise verformtes Silizium als eine „neue" Art eines Halbleitermaterials gesehen werden kann, das die Herstellung schneller und leistungsstarker Halbleiterbauelemente ermöglicht, ohne dass teuere Halbleitermaterialien erforderlich sind, während gleichzeitig viele der gut etablierten Fertigungsverfahren weiterhin verwendet werden können.
  • Daher wird in einigen Lösungsansätzen die Löcherbeweglichkeit von PMOS-Transistoren erhöht, indem eine verformte Silizium/Germanium-Schicht in den Drain- und Sourcegebieten der Transistoren gebildet wird, wobei die kompressiv verformten Drain- und Sourcegebiete eine Verformung in dem benachbarten Siliziumkanalgebiet erzeugen. Dazu werden die Drain- und Sourceerweiterungsgebiete der PMOS-Transistoren auf der Grundlage einer Ionenimplantation gebildet. Danach werden entsprechende Seitenwandabstandshalter an der Gateelektrode so gebildet, wie dies für die Definition der tiefen Drain- und Sourcegebiete und der Metallsilizidgebiete in einer späteren Fertigungsphase erforderlich ist. Vor der Herstellung der tiefen Drain- und Sourcegebiete werden diese Gebiete selektiv auf der Grundlage der Seitenwandabstandshalter abgetragen, während die NMOS-Transistoren abgedeckt sind. Nachfolgend wird eine stark in-situ dotierte Silizium/Germanium-Schicht selektiv in dem PMOS-Transistor durch epitaktische Wachstumsverfahren gebildet. Da der natürliche Gitterabstand von Silizium/Germanium größer ist als jener von Silizium, wird die epitaktisch aufgewachsene Silizium/Germanium-Schicht, die den Gitterabstand des Siliziums annimmt, unter einer kompressiven Verformung aufgewachsen, die effizient in das Kanalgebiet übertragen wird, wodurch darin das Silizium kompressiv verformt wird. Dieses Integrationsschema führt zu einer deutlichen Leistungssteigerung der p-Kanaltransistoren. Somit wurde ein ähnliches Konzept auch für n-Kanaltransistoren unter Anwendung eines Silizium/Kohlenstoffmaterials vorgeschlagen, das eine kleinere Gitterkonstante im Vergleich zu Silizium aufweist. Der resultierende Zugewinn an Leistung ist jedoch weniger ausgeprägt als erwartet. Da die weitere Bauteilreduzierung weitere die Leistungsfähigkeit reduzierende Mechanismus zur Verringerung der Kurzkanaleffekte enthalten kann, etwa erhöhte Dotierstoffpegel in dem Kanalgebiet, Dielektrika mit großem ε in der Gateisolationsschicht, und dergleichen, ist es von großer Bedeutung, effiziente Techniken zum Kompensieren oder Überkompensieren derartiger die Beweglichkeit beeinträchtigende Ansätze bereitzustellen, um die Ladungsträgerbeweglichkeit für n-Kanaltransistoren zu erhöhen, indem verformungsinduzierende Mechanismen, etwa ein verformtes Silizium/Kohlenstoffmaterial, und dergleichen verwendet werden.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf für eine verbesserte Technik, die das effiziente Erhöhen der Leistungsfähigkeit von n-Kanaltransistoren ermöglicht, wobei eines oder mehrere der oben erkannten Probleme im Wesentlichen vermieden oder zumindest verringert werden.
  • Überblick über die Erfindung
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die eine effizient erhöhte Ladungsträgerbeweglichkeit bereitstellen kann, indem für den verformungsinduzierenden Mechanismus die kristallographischen Unterschiede eines siliziumbasierten Halbleitermaterials im Hinblick auf ihre Eigenschaften für die Ladungsträgerbeweglichkeit berücksichtigt werden. In anschaulichen Ausführungsformen können gewisse Verspannungsquellen, etwa ein verformtes Silizium/Kohlenstoffmaterial für im Wesentlichen eine Zugverformung in dem entsprechenden Kanalgebiet entlang der Kanallängsrichtung sorgen, wohingegen ein merklicher Anteil an kompressiver Verformung in der Transistorbereitenrichtung erzeugt werden kann, die den Leistungszuwachs für n-Kanaltransistoren, die die konventionelle kristallographische Orientierung des Kanalgebiets aufweisen, in unerwünschter Weise reduzieren kann. Durch geeignetes Bewerten des verformungsinduzierenden Mechanismus in Verbindung mit den kristallinen Eigenschaften können die Kanalgebiete in geeigneter Weise orientiert werden, um damit einen ausgeprägteren Beweglichkeitszuwachs zu erhalten. In einer anschaulichen Ausführungsform kann diese Technik effizient auf eingebettete Silizium/Kohlenstoffgebiete in Drain- und Sourcegebieten von n-Kanalfeldeffekttransistoren angewendet werden, wobei eine entsprechende Einstellung der Kanalorientierung in Bezug auf die kristallographische Orientierung des Siliziumbasismaterials für einen Beweglichkeitszuwachs durch die Zugverformung entlang der Transistorlängsrichtung und durch eine entsprechende kompressive Verformung, die entlang der Breitenrichtung wirkt, sorgen kann. Folglich können eine Vielzahl von effizienten Verfahren für die Herstellung eingebetteter Silizium/Kohlenstoffbereiche benachbart zu dem Kanalgebiet von n-Kanaltransistoren im Hinblick auf ihre Auswirkung auf einen Anstieg der Elektronenbeweglichkeit merklich „verstärkt" werden.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Halbleiterbauelement einen ersten Transistor mit einem ersten Kanalgebiet, das eine erste Kanallängsrichtung definiert, wobei das Kanalgebiet ein kristallines Siliziummaterial mit einer Zugverformungskomponente aufweist, die entlang der ersten Kanallängsrichtung orientiert ist. Ferner ist die erste Kanallängsrichtung im Wesentlichen entlang einer kristallographischen <100> Richtung orientiert.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Auswählen einer ersten Orientierung einer Kanallängsrichtung eines Kanalgebiets mit Zugverformung für einen n-Kanaltransistor, der in einer siliziumbasierten Halbleiterschicht herzustellen ist, wobei die Halbleiterschicht eine spezielle Oberflächenorientierung aufweist und wobei die erste Orientierung auf der Grundlage mindestens zweier linear unabhängiger Verformungskomponenten in dem Kanalgebiet ausgewählt wird. Das Verfahren umfasst ferner das Bilden von Drain- und Sourcegebieten des ersten Transistors, um das Kanalgebiet zu definieren, wobei die Kanallängsrichtung im Wesentlichen entlang der ersten Orientierung ausgerichtet ist. Ferner wird eine Zugverformung in dem Kanalgebiet entlang der ersten Kanallängsrichtung hervorgerufen.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform umfasst ein Verfahren das Bilden eines verformten Silizium/Kohlenstoffmaterials in der Nähe eines Kanalgebiets eines Transistors, wobei das Kanalgebiet eine Längsrichtung definiert, die im Wesentlichen entlang einer <100> kristallographischen Orientierung einer siliziumbasierten Schicht definiert.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a schematisch eine perspektivische Ansicht eines n-Kanaltransistors mit einem verformten Silizium/Kohlenstoffmaterial zeigt, das in den Drain- und Sourcegebieten in der Nähe eines Kanalgebiets mit einer Kanallängsrichtung eingebettet ist, die entlang einer spezifizierten kristallographischen Orientierung gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung orientiert ist;
  • 1b schematisch eine Draufsicht des Bauelements aus 1a zeigt, wodurch das Auftreten einer uniaxialen Zugverformung und einer uniaxialen kompressiven Verformung in dem Kanalgebiet gezeigt wird;
  • 1c bis 1g schematisch Querschnittsansichten eines n-Kanaltransistors während des Bereitstellens entsprechender verformungsinduzierender Quellen zeigen, wobei die Kanallängsrichtung gemäß einer spezifizierten kristallographischen Orientierung ausgerichtet ist, die gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung festgelegt wird; und
  • 2a und 2b schematisch Draufsichten auf siliziumbasierte Substrate zeigen, die darin ausgebildet Transistorbauelemente aufweisen, deren Kanallängsrichtungen gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung orientiert sind.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen betrifft die vorliegende Erfindung eine Technik für eine effizientere Erhöhung der Ladungsträgerbeweglichkeit in n-Kanaltransistoren durch geeignetes Festlegen der Kanallängsrichtung in Übereinstimmung mit kristallographischen Gegebenheiten des siliziumbasierten Halbleitermaterials. Wie zuvor erläutert ist, wird die Herstellung eines eingebetteten Silizium/Kohlenstoff-Materials als eine vielversprechende Technik zur Verbesserung der Leistungsfähigkeit von n-Kanaltransistoren entsprechend einem ähnlichen Integrationsschema betrachtet, wie es erfolgreich für p-Kanaltransistoren angewendet wird, in welchem ein verformtes Silizium/Germanium-Material in den Drain- und Sourcegebieten eingebettet wird, wodurch die Löcherbeweglichkeit in dem entsprechenden Kanalgebiet deutlich erhöht wird. In der Praxis zeigt das Leistungsverhalten von konventionellen n-Kanaltransistoren mit einem eingebetteten Silizium/Kohlenstoffmaterial in den Drain- und Sourcegebieten einen Zuwachs, der deutlich geringer ist als erwartet und wie er auch für die künftige Technologiestandards erforderlich ist. Ohne die vorliegende Erfindung auf die folgende Erläuterung einschränken zu wollen, so wird angenommen, dass die weniger effiziente Steigerung des Leistungsverhaltens konventioneller n-Kanaltransistoren mit eingebettetem Silizium/Kohlenstoffmaterial zweidimensionalen Verformungseffekten in dem entsprechenden Kanal zugeordnet werden kann. Das eingebettete Silizium/Kohlenstoff-Material, das eine natürliche Gitterkonstante aufweist, die kleiner ist als die des kristallinen Siliziummaterials, wird auf einer Siliziumschablone gebildet, wodurch ein gewisses Maß an biaxialer Verformung, d. h. eine zweidimensionale Zugverformung, entsteht, die als eine entsprechende Verspannungsquelle für das benachbarte Kanalgebiet dient. Folglich kann das Siliziummaterial in dem Kanalgebiet Verspannungskräften ausgesetzt sein, die jedoch zu einer Zugverformung entlang der Kanallängsrichtung führen, während andererseits ein gewisses Maß an kompressiver Verformung entlang der Kanalbreitenrichtung hervorgerufen wird. Als Folge davon kann die Gesamtmodifizierung der Ladungsträgerbeweglichkeit, d. h. der Elektronenbeweglichkeit in dem Kanalgebiet, als eine Überlagerung zweier unterschiedlicher Arten an Verformung gesehen werden, wobei in konventionellen Lösungsvorgängen typischerweise der Kanal entlang der kristallinen <110> Kristallorientierung für eine konventionelle Halbleiterschicht mit einer {100} Oberflächenorientierung ausgerichtet ist. Eine entsprechende konventionelle Ausgestaltung eines n-Kanaltransistors kann jedoch deutlich den Beweglichkeitszuwachs reduzieren, da die unterschiedlichen Arten an Verformungen sich zumindest teilweise in ihrer Wirkung auf die Ladungsträgerbeweglichkeit kompensieren können, wodurch eine Situation entstehen kann, wie sie gegenwärtig bei konventionellen Transistorelementen mit verformten eingebetteten Silizium/Kohlenstoffgebieten anzutreffen ist.
  • Folglich wird erfindungsgemäß die Orientierung der Kanallängsrichtung unter Berücksichtigung der zweidimensionalen Auswirkungen eines verformungsinduzierenden Mechanismus festgelegt, um damit nachteilige Einflüsse auf die Elektronenbeweglichkeit deutlich zu reduzieren oder um sogar eine geeignete Orientierung des Transistorelements vorzusehen, um damit eine synergetische Wirkung der unterschiedlichen Verformungskomponenten zu erreichen.
  • Mit Bezug zu den 1a bis 1f und 2a und 2b werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben, wodurch auch eine effiziente Technik zum Festlegen einer zweidimensionalen Verformungsverteilung in einem Kanalgebiet angegeben wird.
  • 1a zeigt schematisch eine perspektivische Ansicht eines beispielhaften n-Kanaltransistors 100, der als ein typisches Transistorelement mit einem eingebetteten Silizium/Kohlenstoff-Material betrachtet werden kann und der auch zum Beschreiben von Unzulänglichkeiten konventioneller Transistorelemente verwendet wird, wenn eingebettetes verformtes Silizium/Kohlenstoffmaterial zur Verbesserung des Durchlassstromverhaltens des Transistors 100 verwendet wird. Das Bauelement 100 kann ein geeignetes Substrat 101 aufweisen, das in anschaulichen Ausführungsformen ein Siliziumvollsubstrat repräsentieren kann, das an einem oberen Bereich davon eine im Wesentlichen kristalline siliziumbasierte Schicht 102 aufweist, wobei ein siliziumbasiertes Halbleitermaterial als ein Halbleiter zu verstehen ist, der einen wesentlichen Anteil an Silizium aufweist, etwa ungefähr 50 Atomprozent oder mehr, wobei andere Nicht-Siliziummaterialien, etwa Dotierstoffgattungen und dergleichen, ebenso in der Schicht 102 vorhanden sein können. In anderen anschaulichen Ausführungsformen repräsentiert das Substrat 101 in Verbindung mit der Halbleiterschicht 102 eine SOI-(Silizium-auf-Isolator-)Konfiguration, wobei eine vergrabene isolierende Schicht (nicht gezeigt) zwischen dem Substrat 101 und der Halbleiterschicht 102 vorgesehen ist.
  • Des weiteren umfasst das Bauelement 100 eine Gateelektrode 104, die in dieser Fertigungsphase aus einem stark dotierten Polysiliziummaterial aufgebaut sein kann, das auf einer Gateisolationsschicht 105 gebildet sein kann, die aus Siliziumdioxid, Siliziumnitrid, einem dielektrischen Material mit großem ε, Kombinationen davon, und dergleichen aufgebaut sein kann. Beispielsweise kann in anspruchsvollen Anwendungen die Gateisolationsschicht 105 aus Siliziumdioxid mit einer Dicke von 1 nm oder weniger bis zu mehreren Nanometern hergestellt sein, abhängig von der Länge der Gateelektrode, die als 104L bezeichnet ist. Beispielsweise kann die Gatelänge 104L 100 nm und deutlich weniger, beispielsweise 50 nm oder weniger, bei äußerst modernen Bauelementen betragen. Typischerweise kann die Verringerung der Kanallänge 104I eine entsprechende Verringerung der Dicke der Gateisolationsschicht 105 zur Folge haben, um damit ein hohes Maß an Steuerbarkeit eines Kanalgebiets 103 zu erreichen, das in der Halbleiterschicht 102 unter der Gateisolationsschicht 105 gebildet ist. Jedoch kann für Kanallängen unter 50 nm die Verringerung der Gateisolationsschicht 105, wenn diese aus gut etabliertem Siliziumdioxidmaterial hergestellt ist, nicht mehr weiter verringert werden, um damit die erforderliche Kanalsteuerbarkeit zu liefern. Daher werden diverse Lösungsansätze als Gegenmaßnahmen für Kurzkanaleffekte vorgeschlagen, etwa eine erhöhte Dotierung des Kanalgebiets 103 und/oder die Verwendung von dielektrischen Materialien mit großem ε für die Gateisolationsschicht 105, und dergleichen, die jedoch eine negative Auswirkung auf die Beweglichkeit der Ladungsträger in dem Kanalgebiet 103 ausüben können. Aus diesem Grunde und aus den zuvor erläuterten Gründen ist eine weitere Steigerung der Ladungsträgerbeweglichkeit in dem Kanalgebiet 103 äußerst wünschenswert, wobei das Vorsehen eines verformten Silizium/Kohlenstoffmaterials ein äußerst vielversprechender Ansatz ist.
  • Das Bauelement 100 kann ferner, abhängig von der Prozessstrategie, eine entsprechende Seitenwandabstandshalterstruktur 106 aufweisen, die beispielsweise aus Siliziumdioxid, Siliziumnitrid, und dergleichen aufgebaut ist. Ferner können tiefe Drain- und Sourcegebiete 107 und damit in Verbindung stehend entsprechende Erweiterungsgebiete 108 in der Halbleiterschicht 102 benachbart zu der Gateelektrode 104 und dem Kanalgebiet 103 definiert sein. Das vertikale und laterale Dotierstoffprofil der Gebiete 108 und 107 wird entsprechend den Entwurfserfordernissen angepasst, wobei typischerweise die Erweiterungsgebiete 108 eine geringe Tiefe und ein geeignetes Dotierstoffprofil aufweisen, um ebenso bei der Reduzierung der Kurzkanaleffekte unterstützend zu wirken. Ferner ist ein verformtes Silizium/Kohlenstoff-Material 109 in der Halbleiterschicht 102 in der Nähe des Kanalgebiets 103 ausgebildet, wobei in der gezeigten Ausführungsform das Material 109 im Wesentlichen innerhalb der Drain- und Sourcegebiete 107 angeordnet ist, ohne dass dieses sich in das Kanalgebiet 103 erstreckt, so dass die entsprechenden PN-Übergänge 110 im Wesentlichen innerhalb des Siliziummaterials gebildet sind. Wie mit Bezug zu 1b detaillierter erläutert ist, ist die Kanallängsrichtung, d. h. die Richtung entsprechend der Kanallänge 104I, die auch als x-Richtung in 1a angegeben ist, im Hinblick auf die kristallographischen Eigenschaften der Halbleiterschicht 102 so gewählt, dass eine erhöhte Ladungsträgerbeweglichkeit auf Grund einer Verformung in dem Kanalgebiet 103 hervorgerufen wird, die von dem verformten Silizium/Kohlenstoff-Material 109 erzeugt wird. In einer anschaulichen Ausführungsform ist die x-Richtung im Wesentlichen entlang einer <100> Kristallrichtung orientiert, wobei in dieser Ausführungsform die Halbleiterschicht 102 eine Oberflächenorientierung von (100) besitzt. Für eine derartige Konfiguration der kristallinen Schicht 102, entspricht auch die Kanalbreitenrichtung, die als y-Richtung in 1 bezeichnet ist, einer <100> Kristallrichtung.
  • Das Halbleiterbauelement 100, wie es in 1a gezeigt ist, kann gemäß den folgenden Prozessen hergestellt werden. Nach dem Bereitstellen des Substrats 101, das darin ausgebildet die Halbleiterschicht 102 aufweist, oder nach dem Herstellen der Halbleiterschicht 102 auf dem Substrat 101 auf der Basis epitaktischer Wachstumsverfahren, wird die Gestaltung einer entsprechenden integrierten Schaltung, die den Transistor 100 enthält, so festgelegt, dass diese der gewünschten Orientierung des Kanalgebiets 103 entspricht. D. h., vor dem eigentlichen Ausführen von Fertigungsprozessen werden die kristallographischen Eigenschaften der Schicht 102 im Hinblick auf das Erzeugen einer Zugverformung entlang der x-Richtung und durch Berücksichtigen zweidimensionaler Auswirkungen eines entsprechenden verformungsinduzierenden Mechanismus bestimmt.
  • Die entsprechende 1b zeigt schematisch eine entsprechende Gestaltung oder eine tatsächliche Draufsicht des Bauelements 100, wobei eine Situation angegeben ist, wenn das verformte Silizium/Kohlenstoffmaterial 109 auf das Kanalgebiet 103 einwirkt. Wie gezeigt, kann das eingebettete Silizium/Kohlenstoffmaterial 109 eine Zugverspannung in Längsrichtung, die als Sxx in 1b angegeben ist, in dem Kanalgebiet 103 erzeugen, d. h. in dem Gebiet, das unter der Gateelektrode 104 angeordnet ist. Die entsprechende longitudinale Zugverspannung Sxx kann dann die Quelle einer entsprechenden Zugverformung sein, die eine entsprechende Auswirkung auf die Ladungsträgerbeweglichkeit in dem Kanalgebiet 103 ausübt. Gleichzeitig kann das verformte Silizium/Kohlenstoffmaterial 109 eine transversale Verspannungskomponente erzeugen, d. h. eine Verspannungskomponente Syy, die entlang der Kanalbreitenrichtung wirkt, d. h. der y-Richtung, wobei die entsprechende Verspannungskomponente kompressiv ist. D. h., da der Bereich entlang der Transistorbereitenrichtung für eine Verspannungswechselwirkung verfügbar ist, die wesentlich größer ist im Vergleich zu dem entsprechenden Bereich, der in der Transistorlängsrichtung verfügbar ist, wirkt die entsprechende Verformung der Gebiete 109, die als eine im Wesentlichen biaxiale Verformung verstanden werden kann, intensiver entlang der Länge der Kanalrichtung 103, so dass die Zugverspannung und Zugverformung Sxx erzeugt werden, wodurch auch eine entsprechende kompressive Verspannung in dem Siliziummaterial entlang der Breitenrichtung des Kanals 103 hervorgerufen wird. Anders ausgedrückt, die natürliche Kontraktion der Gebiete 109 bewirkt ein „Ziehen" an dem Kanal 103 entlang der Kanallänge mit einer „Kontraktion" in der Richtung transversal zu der Kanallänge. Folglich werden die entsprechende Zugkomponente Sxx und die kompressive Komponente Syy in dem Kanalgebiet 103 erzeugt. In Übereinstimmung mit den entsprechenden Spannungsberechnungen hängt die Größe von Syy von der Transistorbreite ab und kann in vielen Fällen im Bereich von ungefähr 0,3Sxx bis 1,3Sxx liegen. Die kombinierte Auswirkung der Verspannungskomponenten Sxx und Syy auf die Elektronenbeweglichkeit kann unter Anwendung der piezoelektrischen Koeffizienten für Silizium abgeschätzt werden. Für einen typischen konventionell gestalteten MOSFET-Transistor, d. h. für einen MOSFET-Transistor, der auf einer Siliziumschicht mit einer (100) Oberflächenorientierung gebildet ist, sind beispielsweise die Bauelemente typischerweise entlang der Kristallrichtungen <110> ausgerichtet. Für diese konventionelle Orientierung ergeben die entsprechenden piezoelektrischen Koeffizienten: ∇μ/μ = 0,31Sxx + 0,18Syy (1)wobei die relative Modifizierung der Elektronenbeweglichkeit ∇μ/μ für die oben angegebenen Koeffizienten erhalten wird, wenn die entsprechenden Verspannungskomponenten in Einheiten von GPa ausgedrückt werden. Aus der obigen Gleichung 1 geht hervor, dass die longitudinale Zug-(positive)Verspannungskomponente Sxx die Elektronenbeweglichkeit in dem Kanalgebiet 103 mit einem Faktor von 0,31 erhöht. Jedoch besitzt die transversale kompressive (negative) Verspannungskomponente Syy einen Wert von 0,18 und auf Grund des negativen Vorzeichens der Verspannungskomponente Syy wird die Elektronenbeweglichkeit verringert. Folglich wird in der konventionellen Konfiguration die kombinierte Verspannungswirkung auf die Elektronenbeweglichkeit deutlich kompensiert oder kann für gewisse Bauteilkonfigurationen, d. h. für eine geringe Transistorbreite, sogar negativ werden. Auf der Grundlage gegenwärtig etablierter selektiver epitaktischer Wachstumstechniken für Silizium/Kohlenstoff, die eine moderat geringe Kohlenstoffdotierung innerhalb des epitaktisch gewachsenen Siliziummaterials bieten, wird folglich ein relativ unwesentlicher Leistungszuwachs oder sogar ein reduziertes Durchlassstromvermögen erhalten. Gemäß der vorliegenden Erfindung zeigt die gleiche Analyse auf der Grundlage der piezoelektrischen Koeffizienten und der zweidimensionalen Verspannungsberechnungen, dass, wenn die Schicht 102 eine Kristallorientierung ihrer Oberfläche sind (100) aufweist und die Transistorkanallänge in der Schicht 102 entlang der Kristallrichtung <100> orientiert ist, die longitudinale Verspannungskomponente Sxx und die kompressive Verspannungskomponente Syy die folgende Auswirkung auf die Elektronenbeweglichkeit besitzen: ∇μ/μ = 1,02Sxx – 0,53Syy (2).
  • D. h., die relative Zunahme der Elektronenbeweglichkeit ∇μ/μ in Bezug auf die Zugverspannungskomponente kann mit dem Koeffizienten von 1,02 verknüpft sein, während die transversale kompressive, d. h. negative, Verspannungskomponente Syy mit dem negativen Koeffizienten 0,53 behaftet sein kann. Folglich können beide Verspannungskomponenten Sxx und Syy positiv zu einer Beweglichkeitserhöhung beitragen, wodurch das Gesamtleistungsverhalten deutlich verbessert wird, unabhängig von der Transistorkonfiguration in Bezug auf die Transistorlänge und Transistorbreite.
  • Es sei wieder auf 1a verwiesen; basierend auf den obigen Betrachtungen kann das Substrat 101 mit der darauf ausgebildeten Halbleiterschicht 102 während der Fertigungssequenz in geeigneter Weise positioniert werden, um eine Orientierung des Kanalgebiets 103 zu erhalten, die eine gewünschte Erhöhung der Elektronenbeweglichkeit bietet. Beispielsweise wird in dem oben spezifizierten Falle die Orientierung der Kanallängsrichtung x so festgelegt, dass ein maximaler Beweglichkeitszugewinn für Elektronen für das Kanalgebiet 103 erhalten wird. Es sollte jedoch beachtet werden, dass andere x-Orientierungen im Hinblick auf die kristallographischen Eigenschaften festgelegt werden können, abhängig von den Erfordernissen für andere Schaltungselemente, etwa p-Kanaltransistoren und dergleichen. Beispielsweise können die entsprechenden zweidimensionalen Verformungsberechnungen für eine Vielzahl unterschiedlicher Orientierungen durchgeführt werden, um eine gewünschte beweglichkeitssteigernde Orientierung für beliebige Arten an Transistoren zu erhalten, die über dem Substrat 101 zu bilden sind, ohne dass im Wesentlichen eine unterschiedliche Orientierung für unterschiedliche Transistorarten erforderlich ist. In anderen anschaulichen Ausführungsformen wird die x-Orientierung aller oder zumindest eines wesentlichen Anteils der Transistoren in der Art des Bauelements 100 auf der Grundlage der obigen zweidimensionalen Berechnungen festgelegt, während andere Transistorelemente in einer anderen Richtung orientiert sind.
  • Als nächstes werden entsprechende Fertigungsprozesse ausgeführt, um die entsprechenden siliziumbasierten Gebiete innerhalb der Halbleiterschicht 102 auf der Grundlage entsprechender Isolationsstrukturen (nicht gezeigt), etwa Grabenisolationen, und dergleichen, basierend auf gut etablierten Photolithographie-, Ätz-, Abscheide- und Planarisierungsverfahren festzulegen. Danach werden entsprechende Implantationsprozesse ausgeführt, um die erforderliche Dotierstoffkonzentration in den entsprechend definierten Siliziumgebieten auf der Grundlage gut etablierter Verfahren zu schaffen. Beispielsweise können entsprechende Schwellwertspannungsimplantationsprozesse und dergleichen ausgeführt werden. Anschließend werden geeignete Materialien für die Gateisolationsschicht 105 und die Gateelektrode 104 durch Oxidation und/oder Abscheidung hergestellt und werden nachfolgend auf der Grundlage moderner Photolithographie- und Ätzverfahren strukturiert, weil für das Bilden des verformten Silizium/Kohlenstoffmaterials 109 in einer späten Phase eine entsprechende Deckschicht auf der Oberseite der Gateelektrode 104 bereitgestellt werden kann. Abhängig von der Prozessstrategie werden geeignete Abstandshalterstrukturen (nicht gezeigt) für das Einkapseln der Gateelektrode 104 gebildet und es wird ein entsprechender Ätzprozess ausgeführt, um geeignet gestaltete Aussparungen bzw. Vertiefungen in der Schicht 102 zu bilden, die dann nachfolgend mit dem verformten Silizium/Kohlenstoffmaterial 109 auf der Grundlage selektiver epitaktischer Wachstumsverfahren gefüllt werden können. Es sollte beachtet werden, dass eine Vielzahl unterschiedlicher Prozessstrategien ausgeführt werden können, wenn das Material 109 gebildet wird, wobei die Größe und die Form des Materials 109 sowie dessen Abstand zu dem Kanalgebiet 103 und dergleichen entsprechende Bauteilparameter zum geeigneten Festlegen und Steuern der entsprechenden Fertigungsprozesse repräsentieren.
  • Beispielsweise ist in der gezeigten Ausführungsform das Silizium/Kohlenstoff-Material 109 mit einem moderat großen Abstand zu dem Kanalgebiet 103 gebildet, wodurch das Silizium/Kohlenstoff-Material vollständig in den Drain- und Source-Gebieten 107 angeordnet wird, während in anderen Ausführungsformen das Material 109 in unmittelbarer Nähe zu dem Kanalgebiet 103 angeordnet wird, um damit den Verspannungsübertragungsmechanismus zu verbessern. Es sollte weiterhin beachtet werden, dass davor, danach oder zwischendurch eine entsprechende Prozesssequenz in anderen Bauteilbereichen ausgeführt werden kann, um ein selektiv aufgewachsenes Silizium/Germanium-Material zu bilden, um damit das Leistungsverhalten von p-Kanaltransistoren zu verbessern. Ferner ist in einigen anschaulichen Ausführungsformen der selektive epitaktische Wachstumsprozess zur Bildung des Materials 109 so gestaltet, dass ein in-situ-dotiertes Material bereitgestellt wird, wodurch die Möglichkeit zum Reduzieren von Implantationsprozessen zur Bildung der Gebiete 108 und 107 geschaffen wird, um damit durch Implantation hervorgerufene Schäden in dem verformten Material 109 zu reduzieren. Beispielsweise kann das Erweiterungsgebiet 108 vor dem selektiven Aufwachsen des Materials 109 gebildet werden. Nach dem selektiven epitaktischen Wachstum des Materials 109 kann ein entsprechend gestalteter Ausheizprozess ausgeführt werden, um damit die Dotierstoffe von dem Silizium/Kohlenstoff-Material 109 in die Schicht 102 zu diffundieren, um die tiefen Drain- und Sourcegebiete 107 zu bilden. In anderen anschaulichen Ausführungsformen werden die tiefen Drain- und Sourcegebiete 107 sowie die Erweiterungsgebiete 108 auf der Grundlage von Implantationsprozessen gebildet, die nach der Herstellung des Materials 109 ausgeführt werden, oder die vor dem selektiven Aufwachsen des Materials 109 durchgeführt werden. Dazu wird die Abstandshalterstruktur 106 mit geeigneten Abmessungen so gebildet, dass diese als eine effiziente Implantationsmaske beispielsweise für die Herstellung der tiefen Drain- und Sourcegebiete 107 auf der Grundlage gut etablierter Implantationsverfahren dienen. Danach wird die weitere Bearbeitung des Bauelements 100 fortgesetzt, indem beispielsweise Metallsilizidgebiete in der Gateelektrode 104 und den Drain- und Sourcegebieten 107 auf der Grundlage der Abstandshalterstruktur 106 gemäß beliebiger geeigneter Silizidierungsverfahren hergestellt werden, während welcher ein geeignetes hochschmelzendes Metall, etwa Nickel, Platin, Kobalt oder Kombinationen davon, in ein äußerst leitfähiges Metallsilizid umgewandelt wird. Folglich weist nach der Fertigstellung des Bauelements 100 das Kanalgebiet 103 eine Zugverformung in der x-Richtung auf, die entlang einer Kristallorientierung ausgerichtet ist, die zu einer wesentlich erhöhten Elektronenbeweglichkeit führt, während andererseits die entsprechende kompressive Verspannung entlang der y-Richtung nicht in wesentlicher Weise die Elektronenbeweglichkeit verringert oder sie sogar deutlich die Beweglichkeit erhöhen kann, wie dies beispielsweise mit Bezug zu Gleichung 2 erläutert ist.
  • 1c zeigt schematisch einen Transistor 100 gemäß weiterer anschaulicher Ausführungsformen, in denen das verformte Silizium/Kohlenstoffmaterial sehr nahe an dem Kanalgebiet 103 angeordnet ist, wodurch in noch effizienterer Weise eine Zugverformung und Druckverformung in dem Kanalgebiet 103 hervorgerufen wird. In dem in 1c gezeigten Fertigungsstadium umfasst der Transistor 100 eine Deckschicht 112, die beispielsweise aus Siliziumnitrid aufgebaut ist, die auf der Oberseite der Gateelektrod 104 ausgebildet ist. Ferner ist ein Seitenwandabstandshalter 113, der beispielsweise aus Siliziumnitrid oder einem anderen geeigneten Material aufgebaut ist, an Seitenwänden der Gateelektrode 104 gebildet, wobei bei Bedarf eine geeignete Beschichtung 111, etwa eine Siliziumdioxidbeschichtung, zwischen der Gateelektrode 104 und dem Abstandshalter 113 ausgebildet sein kann. Eine Breite des Abstandshalters 113, die als 113w angegeben ist, wird gemäß den bauteilspezifischen Erfordernissen festgelegt, um damit das verspannte Silizium/Kohlenstoffmaterial näher an dem Kanalgebiet 103 anzuordnen. Zu diesem Zweck wird der Transistor 100 einem geeignet gestalteten selektiven Ätzprozess unterzogen, während welchem die Deckschicht 112 und der Abstandshalter 113 zuverlässig die Gateelektrode 104 schützen, wobei in anderen Bauteilgebieten eine geeignete Deckschicht vorgesehen werden kann, wenn ein entsprechender Ätzprozess für eine Aussparung in diesem Bauteilbereichen nicht gewünscht ist. Des weiteren kann das Maß an Anisotropie des entsprechenden Ätzprozesses auf der Grundlage der Bauteilerfordernisse eingestellt werden, wobei für eine moderat geringe Abstandshalterbreite 113w im Bereich von ungefähr 5 nm oder sogar deutlich weniger im Wesentlichen anisotrope Eigenschaften des Ätzprozesses eingestellt werden, um eine nicht gewünschte Ätzschädigung in der Nähe der Gateisolationsschicht 105 zu vermeiden. In einigen anschaulichen Ausführungsformen werden vor dem selektiven Ätzprozess die Erweiterungsgebiete 108 auf der Grundlage geeignet gestalteter Offset-Abstandshalter (nicht gezeigt) oder auf der Grundlage des Abstandshalters 113 gebildet. Anschließend wird ein selektiver epitaktischer Wachstumsprozess 114 ausgeführt, um das Material 109 aufzuwachsen, wobei, wie zuvor erläutert ist, das Material 109 mit einer hohen Dotierstoffkonzentration vorgesehen werden kann oder als ein im Wesentlichen intrinsisches Silizium/Kohlenstoffmaterial aufgebracht wird, wenn die tiefen Drain- und Sourcegebiete auf der Grundlage von Implantationsprozessen gebildet werden.
  • 1d zeigt schematisch das Bauelement 100 aus 1c in einem weiter fortgeschrittenen Herstellungsstadium, in welchem die tiefen Drain- und Sourcegebiete 107 sowie die Erweiterungsgebiete 108 und die Seitenwandabstandshalterstruktur 106 ausgebildet sind, wobei in der gezeigten Ausführungsform die tiefen Drain- und Sourcegebiete durch Diffusion auf der Grundlage einer hohen Dotierstoffkonzentration in dem Material 109 gebildet sind, wodurch durch Implantation hervorgerufene Schäden in dem Material 109 vermieden oder zumindest deutlich reduziert werden. Ferner sind entsprechende Metallsilizidgebiete 115 in dem Material 109 und in der Gateelektrode 104 auf der Grundlage der Abstandshalter 106 gebildet. Folglich kann verformtes Silizium/Kohlenstoff-Material, das als 109a bezeichnet ist, in unmittelbarer Nähe zu dem Kanalgebiet 103 angeordnet werden, wodurch ein sehr effizienter Verspannungsübertragungsmechanismus bereitgestellt wird. Wenn ferner das Erweiterungsgebiet 108 vor dem selektiven epitaktischen Wachstumsprozess 114 gebildet wurde, und wenn die tiefen Drain- und Sourcegebiete 107 auf der Grundlage von Diffusion hergestellt sind, kann der Materialbereich 109a mit einer deutlich reduzierten Defektrate bereitgestellt werden, wodurch ein hohes Maß an Zugverformung darin beibehalten wird. Somit übt eine Verformungsrelaxation, die durch die Metallsilizidgebiete 115 hervorgerufen werden kann, eine deutlich weniger ausgeprägte Wirkung auf den gesamten verspannungsinduzierenden Mechanismus aus. Folglich enthält der Transistor 100, wie er in 1d gezeigt ist, einen äußerst effizienten verformungsinduzierenden Mechanismus, wobei die Kanallängsrichtung, d. h. die x-Richtung, entlang einer Kristallorientierung ausgerichtet ist, die eine große positive Auswirkung auf die Elektronenbeweglichkeit auf dem Gebiet 103 hervorruft. In der gezeigten Ausführungsform kann die x-Richtung entlang der <100> Richtung ausgerichtet sein, wobei für eine (100) Oberflächenorientierung der Schicht 102 auch die Kanalbreite entlang einer <100> Richtung angeordnet ist, wodurch der äußerst effiziente beweglichkeitserhöhende Mechanismus bereitgestellt wird, wie er mit Bezug zu Gleichung 2 beschrieben ist.
  • 1e zeigt schematisch den Transistor 100 gemäß weiterer anschaulicher Ausführungsformen, in denen eine unterschiedliche Prozessstrategie zur Herstellung des verformten Silizium/Kohlenstoff-Materials 109 angewendet wird. In dieser Ausführungsform weist der Transistor 100 die tiefen Drain- und Sourcegebiete 107 und die Erweiterungsgebiete 108 auf, während in anderen Ausführungsformen diese Gebiete noch auf der Grundlage geeigneter Implantationsverfahren zu bilden sind. Ferner kann in einer anschaulichen Ausführungsform das Bauelement 100 einen geeigneten Ausheizprozess durchlaufen haben, so dass die Dotiermittel in den Gebieten 108 und 107 bereits zu ihren beabsichtigten Positionen innerhalb der Schicht 102 diffundiert sind, um damit die PN-Übergänge 110 mit einem geeigneten Profil zu definieren. In ähnlicher Weise können Transistorelemente in anderen Bauteilgebieten, etwa p-Kanaltransistoren, und dergleichen, oder andere Transistoren in einem sehr fortgeschrittenen Herstellungsstadium im Vergleich zu der Konfiguration sei, wie sie in 1e gezeigt ist. In diesem Zustand wird eine geeignete Prozesssequenz 116 ausgeführt, um ein gewünschtes Maß an Kohlenstoffkonzentration in die Drain- und Sourcegebiete 107 durch Implantation einzubringen. In einer anschaulichen Ausführungsform umfasst die Prozesssequenz 116 eine Amorphisierungsimplantation, beispielsweise auf der Grundlage von Silizium, um einen im Wesentlichen amorphisierten Bereich in den Drain- und Sourcegebieten 107 vorzusehen, wobei ein gewünschter Abstand des entsprechenden amorphisierten Bereichs in Bezug auf die Gateelektrode 104 auf der Grundlage eines geeignet gestalteten Beschichtungsmaterials 117, das beispielsweise aus Siliziumdioxid aufgebaut ist, eingerichtet werden kann. Beispielsweise kann die Beschichtung 117 in Verbindung mit einer geeigneten Abstandshalterstruktur, etwa den Abstandshaltern 106, gebildet werden, auf deren Grundlage die tiefen Drain- und Sourcegebiete 107 durch Ionenimplantation gebildet wurden. Danach können die entsprechenden Abstandshalter entfernt werden, beispielsweise auf der Grundlage äußerst selektiver Nass- oder Trockenätzchemieprozesse und der entsprechende Amorphisierungsimplantationsprozess kann ausgeführt werden. Wenn eine entsprechende Amorphisierung anderer Bauteilbereiche nicht gewünscht ist, wird eine entsprechende Implantationsmaske, etwa eine Lackmaske, auf der Grundlage gut etablierter Verfahren gebildet. Anschließend wird ein geeignet gestalteter Implantationsprozess ausgeführt, um damit eine gewünschte Konzentration an Kohlenstoffatomen in den im Wesentlichen amorphisierten Bereich einzuführen, wobei beispielsweise eine Implantationdosis von ungefähr 5 × 1015 bis 5 × 1016 Ionen/cm2 zum Einbau einer Konzentration von 1,5 bis 4 Atomprozent Kohlenstoff geeignet ist. Nach der Implantation wird der im Wesentlichen amorphisierte Bereich mit der moderat hohen Kohlenstoffkonzentration auf der Grundlage moderner Ausheizverfahren rekristallisiert, die laserbasierte oder blitzlichtgestützte Ausheizverfahren umfassen. Während der lasergestützten oder blitzlichtgestützten Ausheizprozesse wird eine gepulste Strahlung auf das Bauelement 100 gerichtet, wodurch ausreichend Energie zum Initiieren der Rekristallisierung und zum Bewirken der Aktivierung der Kohlenstoffatome deponiert wird, während das Herausdiffundieren von Dotiergattungen der Erweiterungsgebiete 108 und der tiefen Drain- und Sourcegebiete 107 und von Dotiermitteln in anderen Bauelementen deutlich unterdrückt oder vermieden wird, wodurch im Wesentlichen das Profil der PN-Übergänge 110 beibehalten wird. Ferner kann der zusätzliche Ausheizprozess die Aktivierung der Dotiermittel in den Drain- und Sourcgebieten 107 und in den Erweiterungen 108 in dem Transistor 100 und auch in anderen Transistorelementen, die in anderen Bauteilgebieten vorgesehen sind, die die Kohlenstoffimplantation nicht empfangen haben, verbessern.
  • 1f zeigt schematisch den Transistor 100 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem die Metallsilizidgebiete 115 in den Gebieten 109 und der Gateelektrode 104 auf der Grundlage eines weiteren Abstandshalterelements 118 gebildet sind, das auf der Beschichtung 117 gebildet ist oder nach dem Entfernen der Beschichtung 117 auf der Grundlage eines beliebigen geeigneten Materials gebildet ist. Beispielsweise kann der Abstandshalter 118 aus einem Material hergestellt sein, das eine geringe dielektrische Konstante aufweist, wobei parasitäre Kapazitäten reduziert werden. Folglich kann das Bauelement 100, wie es in 1f gezeigt ist, das verformte Silizium/Kohlenstoffmaterial 109 in unmittelbarer Nähe zu dem Kanalgebiet 103 aufweisen, wobei, wie zuvor erläutert ist, die Metallsilizidgebiete 115 nicht in unerwünschter Weise eine Verformungsrelaxation in dem Material 109 hervorrufen. Ferner führt die Bildung des Materials 109 auf der Grundlage einer Ionenimplantation anstatt eines selektiven epitaktischen Wachstumsprozesses zu einem hohen Grad an Flexibilität und Kompatibilität mit konventionellen CMOS-Verfahren, da die Herstellung des Materials 109 in einer äußerst räumlich selektiven Weise während vieler unterschiedlicher Zeitpunkte innerhalb der gesamten Prozesssequenz durchgeführt werden kann. Beispielsweise liefert der Prozess 116 ein hohes Maß an Flexibilität in Verbindung mit dem Herstellen von p-Kanaltransistoren auf der Grundlage von epitaktisch aufgewachsenem Silizium/Germanium-Material, da der Prozess 116 während einer Endphase des Transistorherstellungsprozesses ausgeführt werden kann, ohne dass das Leistungsverhalten oder der Herstellungsablauf für die p-Kanaltransistoren beeinflusst wird. Vielmehr kann durch einen zusätzlichen Ausheizprozess mit reduzierter Diffusion und durch Vorsehen entsprechender Abstandshalter 118 mit einer reduzierten relativen Permittivität eine weitere Leistungssteigerung des Transistorverhaltens in P-Kanalbauelementen oder anderen Komponenten, die das verformte Silizium/Kohlenstoffmaterial nicht erhalten, erreicht werden. Im Hinblick auf die Verbesserung der Elektronenbeweglichkeit in dem Kanalgebiet 103 gelten die gleichen Kriterien, wie sie zuvor erläutert sind.
  • 1g zeigt schematisch den Transistor 100 gemäß noch weiterer anschaulicher Ausführungsformen. In diesen Ausführungsformen weist der Transistor 100 das verformte Silizium/Kohlenstoff-Material 109 auf oder nicht und kann zusätzlich oder alternativ darauf ausgebildet eine verspannte Oberschicht 119, etwa eine Kontaktätzstoppschicht, aufweisen, die aus Siliziumnitrid mit einer hohen intrinsichen Zugverspannung aufgebaut ist. In der in 1g gezeigten Ausführungsform weist der Transistor 100 das Material 109 nicht auf, wobei die Schicht 119, möglicherweise in Verbindung mit den Abstandshaltern 106, als eine Verspannungsquelle ähnlich zu dem verformten Material 109 fungiert. Beispielsweise kann die biaxiale Verspannung in der Schicht 119 eine entsprechende Verspannung in die Gebiete 115 übertragen und somit in die Drain- und Sourcegebiete 107 und kann auch über die Abstandshalter 109 auf das Kanalgebiet 103 einwirken. Folglich kann die entsprechende Verspannung auch für eine wesentliche Zugkomponente in der Längsrichtung des Kanalgebiets 103 sorgen, während eine entsprechende kompressive Komponente in der Kanalbreitenrichtung, d. h. der y-Richtung, hervorgerufen wird. Obwohl die entsprechenden Komponenten geringer sein können im Vergleich zu einer direkteren Wirkung des verformten Materials 109 kann durch das geeignete Festlegen der Orientierung der Kanallängsrichtung beispielsweise in der <100> Kristallorientierung für eine Oberflächenorientierung von (100) ein deutlicher Zuwachs im Vergleich zu konventionellen Lösungen mit verspannten Oberschichten erreicht werden. Somit kann ein deutlicher Zuwachs der Elektronenbewglichkeit erreicht werden, ohne dass die Ausbildung eines verformten Silizium/Kohlenstoffmaterials erforderlich ist. Ferner liefert in Verbindung mit dem verformten Material 109 die verspannte Oberschicht 119 einen noch besseren Mechanismus.
  • Wie zuvor erläutert ist, kann die Kanallängsrichtung gemäß zweidimensionaler Verformungsberechnungen festgelegt werden, wobei die Transistoren, etwa p-Kanaltransistoren und n-Kanaltransistoren, dann so orientiert werden, dass die gewünschte Elektronenbeweglichkeit in den n-Kanaltransistoren erreicht wird, wie dies zuvor beschrieben ist. In anderen Ausführungsformen wird lediglich ein Teil der Gesamtzahl der entsprechenden n-Kanaltransistoren im Hinblick auf die spezielle Kristallorientierung ausgerichtet, während andere Transistorelemente unterschiedlich positioniert werden können, um damit nicht in wesentlicher Weise deren Leistungsverhalten zu reduzieren oder dieses, jedoch in weniger ausgeprägter Weise, zu verbessern. Somit kann die Gestaltung des betrachteten Schaltungsaufbaus in geeigneter Weise so angepasst werden, dass die zweidimensionalen Verformungseigenschaften entsprechender Verspannungsquellen berücksichtigt werden, die eine Zugverformung entlang der Kanallängsrichtung und eine kompressive Verformung entlang der Kanalbreitenrichtung enthalten.
  • 2a zeigt schematisch ein Substrat 250 mit einer darauf ausgebildeten entsprechenden siliziumbasierten Schicht 202, die eine Oberflächenorientierung (100) aufweist. Im Gegensatz zu konventionellen Verfahren wird das Substrat 250 so präpariert, dass eine entsprechende Einkerbung 251 eine <100> Kristallorientierung anstelle einer <110> Richtung angibt, wodurch die Anpassung konventioneller Schaltungsanordnungen und Prozessverfahren ermöglicht wird, in denen die entsprechenden Kanalgebiete von Transistorelementen automatisch entlang der <100> Richtung angeordnet werden. Folglich kann der entsprechende Zuwachs an Elektronenbeweglichkeit in den n-Kanaltransistoren im Wesentlichen ohne Modifizierung eines bestehenden Schaltungsaufbaus und einer Fertigungssequenz erreicht werden. In anderen anschaulichen Ausführungsformen können erste Transistorelemente 200, die eine Konfiguration ähnlich zu jener aufweisen, wie sie mit Bezug zu den 1a bis 1g beschrieben ist, mit einer Orientierung der entsprechenden Kanallängenrichtungen vorgesehen werden, wie dies in 2a angegeben ist, während andere Transistoren 230, beispielsweise p-Kanaltransistoren, mit ihren entsprechenden Kanallängsrichtungen in einer unterschiedlichen Kristallorientierung, beispielsweise entlang der <110> Richtung ausgerichtet sind. In diesem Falle wird eine entsprechende Umgestaltung der Schaltungsanordnung und spezieller Prozesse ausgeführt. Es sollte auch beachtet werden, dass die entsprechenden Orientierungen der ersten und zweiten Transistoren 200 und 230 lediglich anschaulicher Natur ist und das andere entsprechende Orientierungen der Kanallängsrichtungen, die eine erhöhte Elektronenbeweglichkeit liefern, auf der Grundlage der zweidimensionalen Verformungsbetrachtungen ausgelegt werden, wie sie zuvor erläutert sind.
  • 2b zeigt schematisch das Substrat 250 gemäß einer weiteren anschaulichen Ausführungsform, in der die Oberflächenorientierung eine (110) Orientierung ist, so dass die entsprechenden kristallographischen Orientierungen <110> und <100> einen Winkelabstand von 90 Grad aufweisen. Folglich können die ersten und zweiten Transistoren 200, 230 mit einem Winkelabstand von 90 Grad ausgerichtet werden, was eine effizientere Schaltungsanordnung im Vergleich zu anderen Winkelabständen, etwa 45 Grad und dergleichen ermöglicht. Wenn beispielsweise die ersten Transistoren 200 n-Kanaltransistoren mit einem verspannungsinduzierenden Mechanismus, wie er beispielsweise mit Bezug zu den 1a bis 1g beschrieben ist, repräsentieren, ist eine Zugverspannungskomponente im Wesentlichen entlang der <110> Kristallrichtung orientiert, während die entsprechende kompressive Verspannung entlang der <100> Richtung orientiert ist. In diesem Falle wird eine weniger effiziente Erhöhung der Elektronenbeweglichkeit erreicht mit dem Vorteil einer effizienteren Schaltungsgestaltung, wobei jedoch die Gesamtverbesserung der Elektronenbeweglichkeit dennoch deutlich höher ist im Vergleich zu konventionellen Lösungen. Wenn in ähnlicher Weise die Transistoren 230 n-Kanaltransistoren repräsentieren, kann die entsprechende resultierende kompressive Verspannung entlang der <110> Kristallorientierung in effizienter Weise zu einem gesamten Beweglichkeitszuwachs beitragen, wie dies beispielsweise in Gleichung 2 gezeigt ist. Folglich liefert die Verwendung des Substrats 250 mit einer Oberflächenorientierung (110) ein hohes Maß an Flexibilität beim Festlegen einer geeigneten Kristallorientierung für die Kanallängsrichtung, wobei auch andere Transistorarten in geeigneter Weise in der gleichen Richtung oder in einer anderen Richtung orientiert werden können, wobei der Winkelabstand der Richtung <110> und <100> für entsprechende Schaltungsanordnung mit geringerer Komplexität sorgt. Ferner kann in diesen Fällen ein hohes Maß an Flexibilität erreicht werden, wenn spezielle Transistorelemente mit verformten Silizium/Germanium-Material vorgesehen werden, beispielsweise in einer der Gruppen 200, 230 in den 2a und 2b, in Verbindung mit entsprechenden n-Kanaltransistoren, wie dies zuvor beschrieben ist, da mehrere unterschiedliche Kombinationen an Orientierungen ohne unnötige Entwurfskomplexität verfügbar sind.
  • Es gilt also: Die vorliegende Erfindung stellt eine verbesserte Technik zur Herstellung von n-Kanaltransistoren bereit, um die Elektronenbeweglichkeit darin zu erhöhen, indem in geeigneter Weise die Kanallängsrichtung in Bezug auf die kristallographischen Eigenschaften des siliziumbasierten Halbleitermaterials festgelegt wird. Durch Berücksichtigung eines zweidimensionalen Verformungsverhaltens können die entsprechenden piezoelektrischen Koeffizienten beim Bestimmen einer geeigneten Orientierung für die n-Kanaltransistoren auf der Grundlage einer entsprechenden verformungsinduzierenden Quelle verwendet werden. In anschaulichen Ausführungsformen kann die verformungsinduzierende Quelle ein verformtes Silizium/Kohlenstoffmaterial sein, das durch epitaktische Wachstumsverfahren, Implantationsverfahren und dergleichen gebildet wird, wobei die Kanallängsrichtung so orientiert ist, dass ein effizienterer Anstieg der kombinierten Wirkung der Zugverformung und der Druckverformung in dem Kanalgebiet erreicht wird. Somit kann im Gegensatz zu konventionellen Verfahren, in denen Kanäle der n-Transistoren entlang <110> Kristallorientierungen ausgerichtet sind, ein merklicher Anstieg durch Verwenden der <100> Orientierung erreicht werden, was sich zu einer Verbesserung der Elektronenbeweglichkeit bis zu einem Faktor von ungefähr 4 oder mehr im Vergleich zur konventionellen Konfiguration belaufen kann.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (21)

  1. Halbleiterbauelement mit: einem ersten Transistor mit einem ersten Kanalgebiet, das eine erste Kanallängsrichtung definiert, wobei das erste Kanalgebiet ein kristallines Siliziummaterial mit einer Zugverformungskomponente aufweist, die entlang der ersten Kanallängsrichtung ausgerichtet ist, wobei die erste Kanallängsrichtung im Wesentlichen entlang einer kristallographischen <100> Richtung orientiert ist.
  2. Halbleiterbauelement nach Anspruch 1, wobei das Siliziummaterial in dem ersten Kanalgebiet ferner eine kompressive Verformung aufweist, die entlang einer ersten Kanalbreitenrichtung wirkt.
  3. Halbleiterbauelement nach Anspruch 1, das ferner Drain- und Sourcegebiete aufweist, die benachbart zu dem ersten Kanalgebiet ausgebildet sind, wobei die Drain- und Sourcegebiete ein verformtes Halbleitermaterial aufweisen.
  4. Halbleiterbauelement nach Anspruch 2, wobei das verformte Halbleitermaterial ein Silizium/Kohlenstoffmaterial umfasst.
  5. Halbleiterbauelement nach Anspruch 4, wobei eine Kohlenstoffkonzentration in dem verformten Silizium/Kohlenstoff-Material ungefähr 1,5 Atomprozent oder höher ist.
  6. Halbleiterbauelement nach Anspruch 1, das ferner einen zweiten Transistor umfasst, der ein zweites Kanalgebiet besitzt, das eine zweite Kanallängsrichtung mit einer unterschiedlichen Orientierung im Vergleich zu der ersten Kanallängsrichtung aufweist.
  7. Halbleiterbauelement nach Anspruch 6, wobei das zweite Kanalgebiet ein verformtes Siliziummaterial mit einer kompressiven Verformung entlang der zweiten Kanallängsrichtung aufweist.
  8. Halbleiterbauelement nach Anspruch 1, das ferner eine erste verspannte dielektrische Schicht aufweist, die über dem ersten Transistor ausgebildet ist, wobei die erste dielektrische Schicht eine Zugverspannung aufweist.
  9. Halbleiterbauelement nach Anspruch 1, das ferner einen zweiten Transistor mit einem zweiten Kanalgebiet aufweist, wobei eine Länge des zweiten Kanalgebiets entlang der ersten Kanallängsrichtung ausgerichtet ist, wobei das zweite Kanalgebiet Silizium mit einer kristallographischen Orientierung entlang der ersten Kanallängsrichtung aufweist, die sich von der <100> Richtung unterscheidet.
  10. Verfahren mit: Festlegen einer ersten Orientierung einer Kanallängsrichtung eines Kanalgebiets mit einer Zugverformung für einen n-Kanaltransistor, der in einer siliziumbasierten Halbleiterschicht mit einer spezifizierten Oberflächenorientierung zu bilden ist, auf der Grundlage mindestens zweier linear unabhängiger Verformungskomponenten in dem Kanalgebiet; Bilden von Drain- und Sourcegebieten des ersten Transistors, um das Kanalgebiet zu definieren, wobei die Kanallängsrichtung im Wesentlichen entlang der ersten Orientierung ausgerichtet ist; und Hervorrufen einer Zugverformung in dem Kanalgebiet entlang der ersten Kanallängsrichtung.
  11. Verfahren nach Anspruch 10, wobei Festlegen der ersten Orientierung umfasst: Bestimmen einer kristallographischen Orientierung der Halbleiterschicht, die eine maximale Elektronenbeweglichkeit in der Kanallängsrichtung liefert und Festlegen der bestimmten kristallographischen Orientierung als die erste Orientierung.
  12. Verfahren nach Anspruch 10, wobei die erste Orientierung im Wesentlichen einer <100> Orientierung in der Halbleiterschicht entspricht.
  13. Verfahren nach Anspruch 12, das ferner Hervorrufen einer kompressiven Verformung entlang einer Kanalbreitenrichtung umfasst.
  14. Verfahren nach Anspruch 10, wobei die Zugverformung hervorgerufen wird, indem ein verformtes Silizium/Kohlenstoffmaterial zumindest in einem Teil der Drain- und Sourcegebiete gebildet wird.
  15. Verfahren nach Anspruch 14, wobei das verformte Silizium/Kohlenstoffmaterial durch eine selektive epitaktische Wachstumstechnik gebildet wird.
  16. Verfahren nach Anspruch 14, wobei das verformte Silizium/Kohlenstoffmaterial durch Implantieren von Kohlenstoff in die Halbleiterschicht gebildet wird.
  17. Verfahren nach Anspruch 16, wobei Implantieren des Kohlenstoffs so ausgeführt wird, dass eine lokale Kohlenstoffkonzentration in der Halbleiterschicht von mindestens ungefähr 1,5 Atomprozent gebildet wird.
  18. Verfahren nach Anspruch 16, das ferner umfasst: im Wesentlichen Amorphisieren eines Teils der Halbleiterschicht vor dem Implantieren des Kohlenstoffs und Implantieren des Kohlenstoffs in den im Wesentlichen amorphisierten Bereich.
  19. Verfahren mit: Bilden eines verformten Silizium/Kohlenstoff-Materials in der Nähe eines Kanalgebiets eines Transistors, wobei das Kanalgebiet eine Längsrichtung definiert, die im Wesentlichen entlang einer <100> Kristallorientierung einer siliziumbasierten Schicht ausgerichtet ist.
  20. Verfahren nach Anspruch 19, wobei Bilden des verformten Silizium/Kohlenstoff-Materials Ausführen eines selektiven epitaktischen Wachstumsprozesses zum Aufwachsen des Silizium/Kohlenstoffmaterials auf einer Siliziumschablone umfasst.
  21. Verfahren nach Anspruch 19, wobei Bilden des verformten Silizium/Kohlenstoffmaterials Implantieren von Kohlenstoff in die siliziumbasierte Halbleiterschicht und Aktivieren der Kohlenstoffs umfasst.
DE102006019835A 2006-04-28 2006-04-28 Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist Active DE102006019835B4 (de)

Priority Applications (9)

Application Number Priority Date Filing Date Title
DE102006019835A DE102006019835B4 (de) 2006-04-28 2006-04-28 Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
US11/567,268 US7767540B2 (en) 2006-04-28 2006-12-06 Transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility
KR1020087029317A KR101132823B1 (ko) 2006-04-28 2007-03-29 인장 스트레인을 가지며 전하 캐리어 이동도가 증가된 결정 배향을 따라 배향된 채널을 구비한 트랜지스터
PCT/US2007/007843 WO2007130240A1 (en) 2006-04-28 2007-03-29 A transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility
JP2009507691A JP2009535808A (ja) 2006-04-28 2007-03-29 引張歪みを有し、結晶方位に沿って方向付けられた、電荷キャリア移動度が増加したチャネルを有するトランジスタ
GB0819846A GB2450838B (en) 2006-04-28 2007-03-29 A transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility
CN2007800148647A CN101432882B (zh) 2006-04-28 2007-03-29 具有具拉伸应变且沿着具增加的载流子迁移率的晶体学定向的沟道的晶体管
TW096114197A TWI409949B (zh) 2006-04-28 2007-04-23 具有具拉伸應變且沿著具增加之電荷載子移動率之晶向定向之通道的電晶體
US12/821,308 US8039878B2 (en) 2006-04-28 2010-06-23 Transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102006019835A DE102006019835B4 (de) 2006-04-28 2006-04-28 Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist

Publications (2)

Publication Number Publication Date
DE102006019835A1 true DE102006019835A1 (de) 2007-10-31
DE102006019835B4 DE102006019835B4 (de) 2011-05-12

Family

ID=38542342

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102006019835A Active DE102006019835B4 (de) 2006-04-28 2006-04-28 Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist

Country Status (7)

Country Link
US (2) US7767540B2 (de)
JP (1) JP2009535808A (de)
KR (1) KR101132823B1 (de)
CN (1) CN101432882B (de)
DE (1) DE102006019835B4 (de)
GB (1) GB2450838B (de)
TW (1) TWI409949B (de)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070158739A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation Higher performance CMOS on (110) wafers
JP2009076731A (ja) * 2007-09-21 2009-04-09 Renesas Technology Corp 半導体装置およびその製造方法
DE102007052053B4 (de) * 2007-10-31 2012-02-02 Advanced Micro Devices, Inc. Eine Zugverformungsquelle unter Anwendung von Silizium/Germanium-Material in global verformtem Silizium
JP2009152391A (ja) * 2007-12-20 2009-07-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法及び半導体装置
DE102008035806B4 (de) * 2008-07-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Herstellungsverfahren für ein Halbleiterbauelement bzw. einen Transistor mit eingebettetem Si/GE-Material mit einem verbesserten Boreinschluss sowie Transistor
FR2935539B1 (fr) * 2008-08-26 2010-12-10 Commissariat Energie Atomique Circuit cmos tridimensionnel sur deux substrats desalignes et procede de realisation
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8551845B2 (en) 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
DE102011005641B4 (de) * 2011-03-16 2018-01-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Leistungssteigerung in Transistoren durch Reduzierung der Absenkung aktiver Gebiete und durch Entfernen von Abstandshaltern
US8466513B2 (en) 2011-06-13 2013-06-18 Semiconductor Components Industries, Llc Semiconductor device with enhanced mobility and method
US9040399B2 (en) 2011-10-27 2015-05-26 International Business Machines Corporation Threshold voltage adjustment for thin body MOSFETs
US8778764B2 (en) 2012-07-16 2014-07-15 Semiconductor Components Industries, Llc Method of making an insulated gate semiconductor device having a shield electrode structure and structure therefor
US9012956B2 (en) * 2013-03-04 2015-04-21 Globalfoundries Inc. Channel SiGe removal from PFET source/drain region for improved silicide formation in HKMG technologies without embedded SiGe
KR102160100B1 (ko) * 2014-05-27 2020-09-25 삼성전자 주식회사 반도체 장치 제조 방법
US9269779B2 (en) 2014-07-21 2016-02-23 Semiconductor Components Industries, Llc Insulated gate semiconductor device having a shield electrode structure
KR20170099444A (ko) 2016-02-23 2017-09-01 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20200086922A (ko) * 2019-01-10 2020-07-20 삼성전자주식회사 반도체 장치

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050035409A1 (en) * 2003-08-15 2005-02-17 Chih-Hsin Ko Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050116360A1 (en) * 2003-12-01 2005-06-02 Chien-Chao Huang Complementary field-effect transistors and methods of manufacture
US20060024876A1 (en) * 2004-08-02 2006-02-02 Chidambaram Pr Methods, systems and structures for forming improved transistors

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5139078B1 (de) * 1969-02-28 1976-10-26
JP3038939B2 (ja) * 1991-02-08 2000-05-08 日産自動車株式会社 半導体装置
JP3017860B2 (ja) * 1991-10-01 2000-03-13 株式会社東芝 半導体基体およびその製造方法とその半導体基体を用いた半導体装置
JP3305197B2 (ja) 1995-09-14 2002-07-22 株式会社東芝 半導体装置
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4030383B2 (ja) 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7220656B2 (en) * 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
JP3927165B2 (ja) * 2003-07-03 2007-06-06 株式会社東芝 半導体装置
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US7319258B2 (en) * 2003-10-31 2008-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip with<100>-oriented transistors
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
SG119256A1 (en) * 2004-07-28 2006-02-28 Taiwan Semiconductor Mfg Semiconductor-on-insulator chip with <100> oriented transistors
JP5017771B2 (ja) 2004-08-20 2012-09-05 日本電気株式会社 相補型電界効果型トランジスタ、および電界効果型トランジスタの製造方法
US7268399B2 (en) 2004-08-31 2007-09-11 Texas Instruments Incorporated Enhanced PMOS via transverse stress
US7485544B2 (en) * 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050035409A1 (en) * 2003-08-15 2005-02-17 Chih-Hsin Ko Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050116360A1 (en) * 2003-12-01 2005-06-02 Chien-Chao Huang Complementary field-effect transistors and methods of manufacture
US20060024876A1 (en) * 2004-08-02 2006-02-02 Chidambaram Pr Methods, systems and structures for forming improved transistors

Also Published As

Publication number Publication date
US20100252866A1 (en) 2010-10-07
KR20090018078A (ko) 2009-02-19
TW200746431A (en) 2007-12-16
US20070252144A1 (en) 2007-11-01
CN101432882B (zh) 2011-06-15
KR101132823B1 (ko) 2012-04-02
CN101432882A (zh) 2009-05-13
GB0819846D0 (en) 2008-12-03
US7767540B2 (en) 2010-08-03
US8039878B2 (en) 2011-10-18
GB2450838A (en) 2009-01-07
DE102006019835B4 (de) 2011-05-12
GB2450838B (en) 2011-06-08
TWI409949B (zh) 2013-09-21
JP2009535808A (ja) 2009-10-01

Similar Documents

Publication Publication Date Title
DE102006019835B4 (de) Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
DE102008035816B4 (de) Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
DE102006019937B4 (de) Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
DE102006019935B4 (de) SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
DE102005051994B4 (de) Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
DE102005057074B4 (de) Verfahren zum Reduzieren von Kristalldefekten in verformten Transistoren durch eine geneigte Voramorphisierung
DE102008030854B4 (de) MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
DE102005052054B4 (de) Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
DE102006019921B4 (de) Verfahren zur Herstellung des Transistors mit eingebetteter Schicht mit Zugverformung mit geringem Abstand zu der Gateelektrode
DE112007002306B4 (de) Verspannter Feldeffekttransistor und Verfahren zu dessen Herstellung
DE102008026213B3 (de) Verfahren zur Durchlassstromerhöhung in Transistoren durch asymmetrische Amorphisierungsimplantation
DE102009010882B4 (de) Transistor mit einer eingebetteten Halbleiterlegierung in Drain- und Sourcegebieten, die sich unter die Gateelektrode erstreckt und Verfahren zum Herstellen des Transistors
DE102009015748B4 (de) Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
DE102006046363B4 (de) Verfahren zum Verringern von Kristalldefekten in Transistoren mit wieder aufgewachsenen flachen Übergängen durch geeignetes Auswählen von Kristallorientierungen
DE102009047304B4 (de) Leistungssteigerung in PFET-Transistoren mit einem Metallgatestapel mit großem ε durch Verbessern des Dotierstoffeinschlusses
DE102005004411B4 (de) Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
DE102007015500B4 (de) Verfahren zum Erzeugen einer Zugverspannung bei einem Halbleiterbauelement durch wiederholtes Anwenden von &#34;Verspannungsgedächtnisverfahren&#34; und Halbleiterbauelement
DE102008059501A1 (de) Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
DE102008063427A1 (de) Transistor mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
DE102009006884A1 (de) In-situ erzeugte Drain- und Source-Gebiete mit einer verformungsinduzierenden Legierung und einem graduell variierenden Dotierstoffprofil
DE102007052053B4 (de) Eine Zugverformungsquelle unter Anwendung von Silizium/Germanium-Material in global verformtem Silizium
DE102006019936B4 (de) Halbleiterbauelement mit unterschiedlich verspannten Ätzstoppschichten in Verbindung mit PN-Übergängen unterschiedlicher Gestaltung in unterschiedlichen Bauteilgebieten und Verfahren zur Herstellung des Halbleiterbauelements
DE102010028462A1 (de) Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen
DE102007025336B4 (de) Halbleiterbauelement und Verfahren für die Verformungserzeugung in siliziumbasierten Transistoren durch Anwendung von Implantationstechniken zur Herstellung einer verformungs-induzierenden Schicht unter dem Kanalgebiet
DE102006030264B4 (de) Verfahren zur Herstellung von Transistoren mit einem Kanal mit biaxialer Verformung, die durch Silizium/Germanium in der Gateelektrode hervorgerufen wird

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R020 Patent grant now final

Effective date: 20110813

R081 Change of applicant/patentee

Owner name: INNOVATIVE FOUNDRY TECHNOLOGIES B.V., NL

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES, INC., SUNNYVALE, CALIF., US

R082 Change of representative

Representative=s name: PETERREINS SCHLEY PATENT- UND RECHTSANWAELTE P, DE

Representative=s name: PETERREINS SCHLEY PATENT- UND RECHTSANWAELTE, DE