KR20090018078A - 인장 스트레인을 갖는 채널을 구비하고 전하 캐리어 이동도가 증가된 결정 배향을 따라 배향된 트랜지스터 - Google Patents

인장 스트레인을 갖는 채널을 구비하고 전하 캐리어 이동도가 증가된 결정 배향을 따라 배향된 트랜지스터 Download PDF

Info

Publication number
KR20090018078A
KR20090018078A KR1020087029317A KR20087029317A KR20090018078A KR 20090018078 A KR20090018078 A KR 20090018078A KR 1020087029317 A KR1020087029317 A KR 1020087029317A KR 20087029317 A KR20087029317 A KR 20087029317A KR 20090018078 A KR20090018078 A KR 20090018078A
Authority
KR
South Korea
Prior art keywords
channel
silicon
channel region
orientation
transistor
Prior art date
Application number
KR1020087029317A
Other languages
English (en)
Other versions
KR101132823B1 (ko
Inventor
안디 바이
이고르 페이도스
쏘르스텐 캄러
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority claimed from PCT/US2007/007843 external-priority patent/WO2007130240A1/en
Publication of KR20090018078A publication Critical patent/KR20090018078A/ko
Application granted granted Critical
Publication of KR101132823B1 publication Critical patent/KR101132823B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

실리콘층(102)의 결정 특성들에 대해 채널 길이 방향을 적합하게 배향함으로써, 스트레인된 실리콘/카본 물질(109)의 스테레스를 발생시키는 효과(stress-inducing effects)가 종래의 기법들에 비하여 현저하게 향상될 수 있다. 일 예시적인 실시예에서, 채널(103)은 표면 배향(100)에 대해 방향<100>을 따라 배향될 수 있으며, 그러므로써 약 4배 증가된 전자 이동도를 제공할 수 있다.

Description

인장 스트레인을 갖는 채널을 구비하고 전하 캐리어 이동도가 증가된 결정 배향을 따라 배향된 트랜지스터{A TRANSISTOR HAVING A CHANNEL WITH TENSILE STRAIN AND ORIENTED ALONG A CRYSTALLOGRAPHIC ORIENTATION WITH INCREASED CHARGE CARRIER MOBILITY}
일반적으로, 본 발명은 집적회로의 형성에 관한 것이며, 보다 상세하게는, MOS 트랜지스터의 채널 영역 내의 전하 캐리어 이동도를 향상시키기 위하여, 내장된 스트레인층과 같은 드레인 및 소스 영역 내의 스트레인을 발생시키는 소스(strain-inducing sources)를 이용함으로써 스트레인된 채널 영역을 구비한 트랜지스터를 형성하는 것에 관한 것이다.
집적회로를 제조하기 위해서는, 소정의 회로 레이아웃에 따라 주어진 칩 면적(chip area)에 다수의 회로 요소들을 형성해야 한다. 일반적으로, 마이크로프로세서, 저장칩 등과 같은 복잡한 회로들에 대하여 다수의 공정 기술들이 현재 구현되고 있다. 그중에서 CMOS 기술이 현재 가장 유망한 기술인바, 이는 구동 속도 및/또는 소비전력 및/또는 비용 효율 측면에서 우수한 특성을 갖기 때문이다. CMOS 기술을 이용하여 복잡한 집적 회로들을 제조할때, 수 백만개의 트랜지스터들, 즉 N-채널 트랜지스터들 및 P-채널 트랜지스터들이 결정질 반도체층을 포함하는 기판 상 에 형성된다. N-채널 트랜지스터인지 또는 P-채널 트랜지스터인지에 상관없이, MOS 트랜지스터는, 일명 PN 접합(PN junctions)을 포함한다. PN 접합은, 강하게 도핑된(highly doped) 드레인 및 소스 영역들과 상기 드레인 및 소스 영역 사이에 배치된 반대로 도핑된(inversely doped) 채널 영역간의 인터페이스(interface)에 의해 형성된다.
채널영역의 전도성, 즉 전도성 채널의 전류 구동 능력은, 채널영역 위에 형성되어 있으며 얇은 절연층에 의해 상기 채널영역으로부터 분리되어 있는 게이트 전극에 의해 제어된다. 적절한 제어 전압을 게이트 전극에 인가하여 전도성 채널이 형성되면, 채널영역의 전도성은, 도판트(dopant) 농도와 다수 전하 캐리어의 이동성에 따라서 달라지며, 또한 트랜지스터 폭 방향으로 채널영역이 소정만큼 확장된 부분에 대해서는, 채널 길이라고도 하는 소스 및 드레인 영역들간의 거리에 따라 달라진다. 따라서, 제어 전압을 게이트 전극에 인가하자마자 절연층 하부에 전도성 채널을 빠르게 형성하는 능력과 함께, 채널영역의 전체적인 전도성은 MOS 트랜지스터의 성능을 실질적으로 결정한다. 그러므로, 채널 길이의 감소 및 이와 관련하여 채널 저항이 감소함에 따라, 채널 길이는, 집적회로의 동작 속도를 증가시키기 위한 주요한 디자인 기준이 된다.
트랜지스터 치수(transistor dimensions)의 계속적인 감소는 이와 관련하여 복수의 문제점(issue)들을 수반하는바, MOS 트랜지스터의 채널 길이를 지속적으로 감소시킴으로써 얻을 수 있는 장점을 과도하게 상쇄시키지 않으려면, 이들 문제점들이 해결되어야 한다. 임계치수(critical dimensions)의 계속적인 감소 즉, 트랜 지스터의 게이트 길이의 계속적인 감소로 인해, 상기 언급된 공정 단계들에 관한 매우 복잡한 공정 기법들의 변경과 가능하다면 새로운 개발이 요구되고 있으므로, 주어진 채널 길이에 대하여 채널영역 내에서 전하 캐리어의 이동성을 증가시켜 트랜지스터 소자들의 채널 전도성을 향상시키는 것이 제안되어 왔으며, 이에 의해 디바이스 스케일링(device scaling)과 연관된 상기의 공정 변경들 중 많은 부분을 회피하거나 적어도 지연시킬 수 있는 반면, 미래의 기술 노드로의 진전과 비교할 수 있을 만큼의 성능 향상을 성취할 수 있는 잠재성을 갖게 될 수 있다.
전하 캐리어 이동도를 증가시키기 위한 하나의 효율적인 메커니즘은, 예를 들면 채널 영역 내에 대응하는 스트레인을 생성하기 위해서 채널 영역의 인근에서 인장(tensile) 혹은 압축(compressive) 스트레스(stress)를 생성함으로써 채널 영역내의 격자 구조를 변경하는 것인데, 이는 전자 및 홀들에 대한 이동도를 각각 변경할 수 있다. 예를 들어, 채널 영역의 압축 스트레인은 홀들의 이동도를 증가시키므로 P형 트랜지스터들의 성능을 향상시킬 잠재성을 제공할 수 있다. 집적회로 제조에 스트레스 혹은 스트레인 공학을 도입시킨 것은, 차세대 디바이스를 위한 매우 유망한 기법이다. 왜냐하면, 예를 들어, 스트레인된 실리콘(strained silicon)은 "새로운" 유형의 반도체 물질로 여겨질 수 있으며, 이에 의하면 잘 정립된 많은 제조 기술들을 여전히 사용하면서, 고가의 반도체 물질을 필요로 하지 않고도 빠르고 강력한 반도체 디바이스들을 제조할 수 있기 때문이다.
그러므로, 일부 기법들에서, 스트레인된 실리콘/게르마늄 층을 트랜지스터의 드레인 및 소스 영역들 내에 형성함으로써 PMOS 트랜지스터의 홀 이동도가 향상되 며, 여기서 압축적으로 스트레인된 드레인 및 소스 영역들은 인접한 실리콘 채널영역 내에 스트레인을 생성한다. 이를 위하여, PMOS 트랜지스터들의 드레인 및 소스 확장 영역들은 이온 주입을 바탕으로 형성된다. 그후에, 다음 제조 단계에서 깊은 드레인 및 소스 접합(deep dain and source junction) 및 금속 실리사이드의 정의를 위해 요구되는 바와 같이, 각각의 측벽 스페이서들이 게이트 전극에 형성된다. 깊은 드레인 및 소스 접합들의 형성 전에, 이 영역들은 측벽 스페이서들을 바탕으로 선택적으로 리세스되는 반면 NMOS 트랜지스터들은 마스크된다. 그후에, 에피텍셜 성장 기법에 의해 강하게 인시츄 도핑된(highly in situ doped) 실리콘/게르마늄 층이 상기 PMOS 트랜지스터 내에 선택적으로 형성된다. 실리콘/게르마늄의 본래의 격자 간격이(natural lattice spacing) 실리콘의 격자 간격 보다 크기 때문에, (실리콘의 격자 간격을 채택하는) 에피텍셜하게 성장한 실리콘/게르마늄층은 압축 스트레인하에서 성장하고, 채널 영역으로 효율적으로 이동하며, 그러므로써 그 안의 실리콘을 압축적으로(compressively) 변형시킨다. 이러한 집적 방식(integration senario)은 P-채널 트랜지스터들의 성능을 현저하게 향상시킨다. 그러므로, 실리콘에 비해 작은 격자 간격을 갖는 실리콘/카본 물질을 사용함으로써 N-채널 트랜지스터들에게도 동일한 개념이 제안되어왔다. 그러나, 결과적인 성능 향상은 기대했던 것에 못미친다. 왜냐하면 추가적인 디바이스 스케일링은 채널 영역 내의 증가된 도판트 레벨, 게이트 절연층 내의 높은-k 유전율 등과 같은, 단채널 효과(short channel effects)를 방지하는 것에 대해서 성능을 더 감소시키는 메커니즘을 수반할 수 있기 때문이다. 그러나, 스트레인된 실리콘/카본 물질등과 같 은 스트레인을 야기하는 메커니즘을 사용하여 N-채널 트랜지스터들의 전하 캐리어 이동도를 효율적으로 증가시킴으로써 그러한 이동도를 저하시키는 방식(mobility-degrading approach)을 보상하거나 또는 과잉보상(over-compensating)하기 위한 효율적인 기법을 제공하는 것은 매우 중요하다.
본 발명은 상기 언급된 문제점들 전부 혹은 그 중 몇가지를 해결하거나 또는 적어도 감소시킬 수 있는 다양한 방법 및 시스템에 관한 것이다.
앞으로 설명될 내용은 본 발명에 대한 개요로서, 이는 본 발명의 몇몇 양상에 대한 기본 이해를 제공하기 위한 것이다. 이러한 요약은 본 발명에 대한 완전한 개괄은 아니다. 이러한 요약은 본 발명의 핵심적인 또는 중요한 요소들을 식별하기 위한 것이 아니며, 본 발명의 범위를 제한하고자 의도된 것이 아니다. 이러한 개요의 목적은, 후술될 발명의 상세한 설명에 앞서서, 본 발명의 몇가지 개념들을 간단한 형식으로 제공하기 위한 것이다.
일반적으로, 본 발명은 스트레인을 발생시키는 메커니즘 및 실리콘-기반의 반도체 물질의 전하 캐리어 이동도 특성들을 감안한 결정학상의 차이점들을 고려함으로써 효율적으로 향상된 전하 캐리어 이동도를 제공할 수 있는 기법에 관한 것이다. 예시적인 실시예들에서, 스트레인된 실리콘/카본 물질과 같은 어떤 스트레스 소스들은 채널 길이 방향을 따라 각각의 채널 영역 내에 실질적으로 얇은 인장 스트레인(tensil strain)을 제공할 수 있으며, 반면 상당히 많은 양의 압축 스트레인이 트랜지스터의 폭 방향 으로 생성될 수 있고, 이는 채널 영역이 종래의 결정 배향(crystallographic orientation)인 N-채널 트랜지스터들에 대해 성능 이득을 과도하게 감소시킬 수 있다. 결정질 특성들과 함께 스트레인을 발생시키는 메커니즘을 적합하게 평가함으로써, 이동도를 보다 명백하게 향상시키기 위하여 채널 영역들이 적합하게 배향될 수 있다. 일 예시적인 실시예에서, 이 기법은 N-채널 전계 효과 트랜지스터들의 드레인 및 소스 영역들 내의 내장된 실리콘/카본 영역들에 효율적으로 적용될 수 있으며, 여기서 베이스 실리콘 물질의 결정 배향에 대하여 채널 배향의 대응하는 조정은 트랜지스터 길이 방향을 따라 있는 인장 스트레인 및 폭 방향을 따라 동작하는 각각의 압축 스트레인에 의해 발생된 이동도 증가를 제공할 수 있다. 결론적으로, 내장된 실리콘/카본 영역을 N-채널 트랜지스터들의 채널 영역에 인접하게 형성하기 위한 복수의 효율적인 기법들은 전자 이동도 증가에 대한 그들의 영향과 관련하여 크게 강조된다.
본 발명의 일 실시예에 따르면, 반도체 디바이스는 제 1 채널 길이 방향을 정의하는 제 1 채널 영역을 가지는 제 1 트랜지스터로 될 수 있고, 여기서 상기 채널 영역은 제 1 채널 길이 방향을 따라 배향된 인장 스트레인 성분(component)으로된 결정질 실리콘 물질을 포함하여 구성된다. 또한, 상기 제 1 채널 길이 방향은 실질적으로 결정<100> 방향을 따라 배향된다.
본 발명의 또 다른 실시예에 따르면, N-채널 트랜지스터가 실리콘-기반의 반도체 층 내에 형성될 수 있도록 인장 스트레인을 구비한 채널 영역의 채널 길이 방향의 제 1 배향을 선택하는 것을 포함하는 방법이 개시되며, 여기서 상기 반도체층은 특정한 표면 배향을 가지며, 제 1 배향은 채널 영역 내의 적어도 두개의 선형적으로 독립한(linearly independent) 스트레인 성분들을 근거로 하여 선택된다. 상기 방법은 채널 영역을 정의하기 위하여 제 1 트랜지스터의 드레인 및 소스 영역들을 형성하는 단계를 더 포함하며, 여기서, 상기 채널 길이 방향은 실질적으로 제 1 배향을 따라 배향된다. 또한, 제 1 채널 길이 방향을 따라 인장 스트레인이 유도된다.
또 다른 예시적인 실시예에 따르면, 스트레인된 실리콘/카본 물질을 트랜지스터의 채널 영역 가까이에 형성하는 단계를 포함하는 방법이 개시되며, 여기서, 상기 채널 영역은 실질적으로 실리콘-기반층의 결정 배향<100>을 따라 길이 방향을 정의한다.
본 발명은 첨부된 도면과 관련하여 제시된 하기의 설명을 통해 이해될 것이며, 상기 도면들에서 동일한 도면 부호는 동일한 구성 요소를 나타낸다.
도 1a는 본 발명의 예시적인 실시예들에 따른, 특정한 결정 배향을 따라 배향되는 채널 길이 방향을 갖는 채널 영역에 근접하게 드레인 및 소스 영역 안으로 내재된 스트레인된 실리콘/카본 물질을 포함하는 N-채널 트랜지스터의 투시도를 개략적으로 도시한다.
도 1b는 도 1a의 디바이스의 평면도를 개략적으로 도시하며, 그러므로써 채널 영역 내에 단축의(uniaxia) 인장 스트레인 및 단축의 압축적 스트레인의 발생을 설명한다.
도 1c 내지 도 1g는 각각의 스트레인을 발생시키는 소스들이 제공되는 동안 N-채널 트랜지스터의 단면도를 개략적으로 도시하며, 여기서 상기 채널 길이 방향은 본 발명의 예시적인 실시예에 따라 선택된 특정한 결정 배향에 따라 배향된다.
도 2a-2b는 그 위에 트랜지스터 디바이스가 형성되어 있는 실리콘-기반의 기판의 평면도를 도시하며, 그것의 채널 길이 방향은 본 발명의 예시적인 실시예들에 따라 배향된다.
본 발명은 다양한 수정예들 및 대안 형태들이 가능하며, 이에 대한 특정한 실시예들이 상기 도면들에 예시되어 도시되어 있으며 이하에서 상세히 설명된다. 그러나, 특정 실시예들에 대한 하기의 설명은, 본 발명을 개시된 특정한 형태에 한정시키고자 의도된 것이 아니며, 첨부된 특허청구범의에 정의된 바와 같이 본 발명의 사상 및 범위 내에서 모든 수정예들, 동등물 및 대안적 형태들을 모두 커버하도록 의도되었다는 점을 유의해야 한다.
이하에서, 본 발명의 실시예들이 설명된다. 명료함을 위하여, 실제 구현에서의 모든 특징들이 이 명세서에 기술되는 것은 아니다.이러한 임의의 실제 구현예를 개발하는데 있어서, 개발자들의 특정한 목적(가령, 시스템과 관련된 제한사항 그리고 사업상의 제한 사항에 부합시키는 것)을 달성하기 위해, 구현예별로 특정한 많은 사항들이 결정되어야만 한다는 점이 이해되어야 한다. 더 나아가, 이러한 개발 노력은 복잡하고 시간이 걸리는 일일 수 있지만, 그럼에도 불구하고 본 명세서에서 개시된 내용에 의해 도움을 받는 당업자에게는 이러한 개발 노력이 통상적인 작업일 수도 있음이 이해되어야만 한다.
이하, 첨부된 도면을 참조하여 본 발명이 설명될 것이다. 다양한 구조, 시스템 및 장치들이 설명만을 위한 목적으로 도시되었으며, 그리고 당업자들에게 잘 정립된 상세한 내용으로 본 발명의 의미를 모호하게 만들지는 않기위하여 상기 도면들에 개략적으로 묘사되어 있다. 그럼에도 불구하고, 상기 첨부된 도면들은 본 발명의 실시예들을 기술 및 설명하기 위해 포함된 것들이다. 본 명세서에서 이용되는 용어 및 구절들은, 관련기술의 당업자들에 의해 상기 용어 및 구절들이 이해되는 바와 일관된 의미를 갖도록 이해 및 해석되어야 한다. 용어 혹은 구절에 대한 특별한 정의 즉, 당업자들이 이해하는 바와 같은 일반적이고 통상적인 의미와는 다른 정의는, 여기의 상기 용어 혹은 구절의 일관된 사용에 의해 내포되지는 않을 것이다. 용어 혹은 구절이 특별한 의미, 즉, 당업자들에 의해 이해되는 바와는 상이한 의미를 갖도록 의도된 점에서, 그러한 특정 정의는 상기 용어 혹은 구절의 특정 정의를 직접 및 간접적으로 제공하는 정의 방식으로 본 명세서에서 설명될 것이다.
일반적으로, 본 발명은 실리콘-기반의 반도체 물질의 결정 특성들에 따라 채널 길이 방향을 적합하게 선택함으로써, N-채널 트랜지스터들 내의 전하 캐리어 이동도를 보다 효율적으로 향상시키기 위한 기법에 관한 것이다. 앞서서 설명한 바와 같이, 스트레인된 실리콘/게르마늄 물질이 드레인 및 소스 영역들 내로 내장되게 하여 각각의 채널 영역 내의 홀 이동도를 현저하게 증가시킬 수 있는 P-채널 트랜지스터들에서 성공적으로 사용되는 유사한 집적 기법(integration scheme)에 의하면, 내장된 실리콘/카본 물질을 형성하는 기법은 N-채널 트랜지스터들의 성능을 향상시키기 위한 유망한 기법으로 여겨진다. 그러나, 실제로는, 드레인 및 소스 영역 내에 내장된 실리콘/카본 물질을 포함하는 종래의 N-채널 트랜지스터들의 성능은 예상했던 것 보다 그리고 미래 기술 노드에 필요한 정도에 비해 현저히 작은 성능 향상을 보여준다. 본 발명을 다음의 설명으로 제한하려 의도하지 않는바, 내장된 실리콘/카본 물질을 구비한 종래의 N-채널 트랜지스터들의 성능에 있어서의 효과적인 향상이 덜 한 것은 해당 채널 내의 2-차원적인 스트레인 효과로 인한 것이라고 여겨진다. 결정질 실리콘 물질 보다 작은 자연 격자 상수(natural lattice constant)를 갖는 내장된 실리콘/카본 물질은 실리콘 템플릿 위에 형성되고, 그러므로써 어느 정도의 이축(biaxial) 스트레인, 즉 인접한 채널 영역에 대해 해당 스트레스 소스로서 동작하는 2-차원의 인장 스트레인을 받게된다. 결과적으로, 채널 영역 내의 실리콘 물질은 채널 길이 방향을 따라서 실질적인 인장 스트레인이 발생되는 반면, 채널 폭 방향을 따라서 어느 정도의 압축 스트레인이 유도되는 스트레스 포스(stress force)를 경험할 수 있다. 결론적으로, 전하 캐리어 이동도의 전체적인 수정, 즉, 채널 영역 내의 전자 이동도는 두가지 다른 유형의 스트레인의 중첩(superposition)으로 고려될 수 있으며, 종래의 기법에서, 일반적으로 채널은 표면 배향{100}을 갖는 종래의 반도체층을 위한 결정질 결정 배향<110>을 따라서 배향된다. 그러나, 다른 유형의 스트레인은 캐리어 이동도에 미치는 각각의 다른 영향을 적어도 부분적으로 없앨 수 있기 때문에, N-채널 트랜지스터에 관한 종래의 디자인은 이동도 증가를 현저하게 감소시키며, 그러므로써 스트레인된 내장된 실리콘/카본 영역을 구비한 종래의 트랜지스터 요소들에서 발생할 수 있는 것과 같은 상황을 야기한다.
결과적으로, 본 발명에 의하면, 전자 이동도에 미치는 어떤 역효과를 현저하게 감소시키기 위한, 또는 서로 다른 스트레인 성분들의 상승효과를 얻기 위해 트랜지스터 요소의 적합한 배향을 제공할수 있도록 하기 위한 스트레인을 발생시키는 메커니즘의 2-차원적 효과를 감안하여 채널 길이 방향의 배향이 선택된다.
도 1a 내지 1f 및 2a 내지 2b를 참조로 하여, 이제 본 발명의 예시적인 실시예들이 보다 자세히 설명될 것이며, 그러므로써 채널 영역 내의 2-차원적 스트레인 분포를 결정하기 위한 효율적인 기법이 또한 제공된다.
도 1a는 예시적인 N-채널 트랜지스터(100)의 투시도를 개략적으로 도시하며, 이 트랜지스터는 내장된 실리콘/카본 물질을 구비한 일반적인 트랜지스터로 여겨질 수 있으며, 또한 트랜지스터(100)의 전류 구동 능력을 향상시키기 위해 내장된 스트레인된 실리콘/카본를 사용할 때의 종래의 트랜지스터 요소들의 어떤 결점들(insufficiencies)을 설명하기 위하여 사용될 수 있다. 상기 디바이스(100)는 적합한 기판(101)을 포함하며, 이 기판은 예시적인 실시예들에서, 그 위에 실질적으로 결정질 실리콘-기반의 층(102)이 형성되어 있는 벌크 실리콘 기판을 나타낼 수 있고, 여기서 실리콘-기반의 반도체 물질은 약 50 원자 퍼센트 또는 그 이상의 실리콘과 같은 상당한 양의 실리콘을 포함하는 반도체로서 이해될 수 있으며, 여기서, 도판트 종등과 같은 다른 비-실리콘 물질들이 또한 상기 반도체층(102) 내에 존재할 수 있다. 다른 예시적인 실시예들에서, 상기 기판(101)은 반도체층(102)과 함께, SOI(silicon-on-insulator) 구조를 나타낼 수 있으며, 여기서 매립 절연층(도시되지 않음)은 상기 기판(101)과 상기 반도체층(102) 사이에 제공될 수 있다.
또한, 상기 디바이스(100)는 게이트 전극(104)을 포함할 수 있으며, 이 제조 단계에서 상기 게이트 전극은 실리콘 이산화물, 실리콘 질화믈, high-k 유전체 물질, 그것들의 혼합물등으로 구성된 게이트 절연층(105) 위에 형성될 수 있는 강하게 도핑된 폴리실리콘 물질을 포함하여 구성될 수 있다. 예를 들어, 더 발전한 애플리케이션들에서, 상기 게이트 절연층(105)은 (104L)로 나타낸 게이트 전극의 길이에 따라서 1 nm 이거나 혹은 몇 nm보다 작은 두께를 갖는 실리콘 이산화물로 형성될 수 있다. 예를 들어, 아주 복잡한 디바이스들에서, 게이트 길이(104L)는 100nm 또는 이보다 상당히 작은 길이, 예를 들어 50nm 혹은 이보다 더 작은 길이일 수 있다. 일반적으로, 게이트 절연층(105) 아래의 반도체층(102) 내에 형성된 채널 영역(103)에 대해 고도의 제어가능성을 제공하기 위하여, 채널 길이(104L)의 감소는 게이트 절연층(105)의 두께가 대응하여 감소될 것을 요구할 수 있다. 그러나, 잘 정립된 실리콘 이산화 물질로 형성되었을 때, 50nm 보다 작은 채널 길이에 대해서, 게이트 절연층(105)의 감소는, 필요한 채널 제어가능성을 제공하기 위하여 더 이상 줄어들지 않는다. 결과적으로, 단채널 효과에 대해 채널 영역(103)의 증가된 도핑 및/또는 게이트 절연층(105)등에 대해 high-k 유전체 물질들을 사용하는 것과 같은 대응책으로서의 몇가지 기법들이 제안되었으나, 이 기법들은 채널 영역(103)내의 전하 캐리어의 이동도에 부정적인 영향을 미친다. 이러한 이유 및 위에 설명된 이유들로 인하여, 채널 영역(103) 내의 전하 캐리어 이동도의 추가적인 증가가 매우 요구되며, 스트레인된 실리콘/카본 물질의 제공은 매우 유망한 기법이다.
상기 디바이스(100)는 공정 방법에 따라, 예를 들어, 실리콘 이산화물, 실리 콘 질화물등으로 구성되는 각각의 측벽 스페이서 구조(106)를 포함한다. 또한, 깊은 드레인 및 소스 영역들(107)과 그것에 연결된 각각의 확장 영역들(108)은 게이트 전극(104)에 인접한 반도체층(102) 및 채널 영역(103) 내에 정의될 수 있다. 상기 영역들(108, 107)의 수직 및 측면의 도판트 프로파일은 디바이스 요구사항들에 따라 개조(adapt)될 수 있으며, 일반적으로, 확장 영역들(108)은 단채널효과들을 줄이는 것을 돕도록 얕은 위치 및 적합한 도판트 프로파일을 가질 수 있다. 또한, 스트레인된 실리콘/카본 물질(109)은 채널 영역(103) 근처에서 반도체층(102) 내에 형성될 수 있으며, 도시한 실시예에서, 상기 물질(109)은 채널 영역(103) 내로 확장되지 않으면서 실질적으로 드레인 및 소스 영역들(107) 내에 위치하여 해당 PN 접합들(110)이 실질적으로 실리콘 물질 내에 형성되도록 한다. 도 1b를 참조로 하여 보다 자세히 설명될 바와 같이, 스트레인된 실리콘/카본 물질(109)에 의해 생성될 수 있는 채널 영역(103) 내의 스트레인으로 인하여, 채널 길이 방향(즉, 채널 길이(104L)에 대응하며, 도 1a에서 X-방향으로 나타낸)은 전하 캐리어 이동도를 향상시키기 위하여, 반도체층(102)의 결정 특성에 대해서 선택된다. 일 예시적인 실시예에서, X-방향은 실질적으로 결정 방향<100>을 따라서 배향되고, 이 실시예에서, 상기 반도체층(102)은 <100>의 표면 배향을 가질 수 있다. 상기 결정질층(102)의 그러한 형태를 위하여, 도 1a에 Y-방향으로 나타낸 채널 폭 방향 또한 결정 방향<100>에 대응한다.
도 1a에 보인 반도체 디바이스(100)는 다음의 공정들에 따라서 형성될 수 있다. 그 위에 반도체층(102)이 형성되어 있을 수 있는 기판(101)의 제공 후에, 또는 에피텍셜 성장 기법을 바탕으로하여 층(101) 위에 반도체층(102)을 형성한 후에, 요구되는 채널 영역(103)의 배향에 대응하기 위하여 트랜지스터(100)를 포함한 각각의 집적 회로의 디자인이 선택될 수 있다. 즉, 사실상 어떤 제조 공정을 수행하기 전에, 상기 층(102)의 결정 특성들이 X-방향을 따라 인장 스트레인을 생성하는것에 관한 측면에서, 그리고 해당 스트레인을 발생시키는 메커니즘의 2-차원적 효과들을 고려하여 결정될 수 있다.
도 1b는 디바이스(100)에 관한 디자인 또는 사실상의 평면도를 개략적으로 도시하며, 스트레인된 실리콘/카본 물질(109)이 채널 영역(103) 위에 작용할 때의 상황을 나타낸다. 도시한 바와같이, 내장된 실리콘/카본 물질(109)은 채널 영역(103)(즉, 게이트 전극(104) 아래에 위치한 영역) 내에, 세로방향의(longitudial) 인장 스트레스(도 1b에서 (Sxx)로 나타냄)를 형성할 수 있다. 이 인장 스트레스(Sxx)는 대응하는 인장 스트레인의 소스가 될 수 있으며, 상기 인장 스트레인은 채널 영역(103) 내의 전하 캐리어 이동도에 각각 영향을 미칠 수 있다. 동시에, 스트레인된 실리콘/카본 물질(109)은 가로방향의(transverse) 스트레스 성분(즉, 채널 폭 방향(즉, Y-방향)을 따라 동작하는 스트레스 성분 Syy)를 생성할 수 있으며, 여기서 해당 스트레스 성분은 압축(compressive)이다. 즉, 스트레스 상호작용(stress interaction)을 위해서 사용 가능한, 트랜지스터 폭 방향을 따르는 영역은 트랜지스터 길이 방향 내의 가능한 영역들에 비하여 현저하게 크기 때문에, 인장 스트레스 및 스트레인 Sxx를 제공하기 위하여 영역(109)의 대응하는 스트레인(실질적으로 2축(biaxial) 스트레인으로 이해될 수 있는)은 채널 영역(103)의 길 이를 따라 더 강하게 작용하며, 그러므로써, 또한 채널(103)의 폭 방향을 따라 실리콘 물질 내에 각각의 압축 스트레스를 형성한다. 다시 말하면, 영역(109)의 자연적인 수축(natural contraction)은 채널 길이에 따라서 채널(103) 위에 "풀링(pulling)"효과를 발생시키며, 채널 길이를 통한 가로방향 내에 "수축(contraction)"효과를 발생시킨다. 결과적으로, 이에 관한 인장 성분(Sxx) 및 압축 성분(Syy)이 채널 영역(103) 내에 생성된다. 각각의 스트레스 계산에 따르면, (Syy)의 크기는 트랜지스터 폭에 의존하며, 많은 경우에서 약 0.3 Sxx 에서 1.3 Sxx의 범위일 수 있다. 전자 이동도에서 스트레스 성분들(Sxx, Syy)의 결합된 효과는 실리콘에 대한 압전기 계수(piezoelectric coefficients)를 사용함으로써 추정될 수 있다. 예를 들어, MOSFET 트랜지스터(즉, 표면 배향(100)을 갖는 실리콘층 위에 형성된 MOSFET 트랜지스터)에 대하여, 상기 디바이스들은 일반적으로 결정 방향<110>을 따라 배향된다. 이러한 종래의 배향에 대하여, 각각의 압전기 계수들은
Figure 112008082476391-PCT00001
μ/μ = 0.31 Sxx + 0.18 Syy (1)
을 발생시킬 수 있으며, 각각의 스트레스 성분들이 GPa의 유닛 내에 표현될 때, 위에 상술한 계수에 대한 전자 이동도(
Figure 112008082476391-PCT00002
μ/μ)가 얻어진다. 위의 식(1)로부터, 세로 방향의 인장(양(positive)의) 스트레스 성분(Sxx)는 채널 영역(103)내의 전자 이동도를 0.31 배로 향상시킨다. 그러나, 가로방향의 압축(음(negative)의) 스트레스 성분(Syy)은 0.18의 계수를 가지며 음의 부호로 인하여, 전자 이동도를 감소시킨다. 결과적으로, 종래의 구성에서, 전자 이동도상의 결합된 스트레스 효과는 현저하게 보상되며, 또는 어떤 디바이스 구성에 대해서, 즉, 감소된 트랜지스터 폭에 대해서는 음이 될 수도 있다. 결과적으로, 에피텍셜하게 성장한 실리콘 물질 내에 적절하게 낮은 카본 도핑을 제공하는 실리콘/카본에 대한 현재의 정립된 선택적 에피텍셜 성장 기법을 바탕으로하여, 상대적으로 무의마한 성능 이득이 얻어지거나 또는 전류 구동 능력이 감소될 수 도 있다. 본 발명에 대하여, 압전기 계수들을 바탕으로 한 동일한 분석 및 2-차원의 스트레스 계산은 만약 상기 층(102)이 그 표면(100)의 결정 배향을 가지고 트랜지스터 채널 길이가 결정 방향[100]을 따라 층(102) 내에 배향된다면, 세로방향의 스트레스 성분(Sxx)과 압축 스트레스 성분(Syy)은 전자 이동도에 대해 다음의 효과를 가질 수 있다는것을 보여준다.
Figure 112008082476391-PCT00003
μ/μ = 1.02 Sxx - 0.53 Syy (2) 즉, 인장 스트레스 성분에 대한 전자 이동도
Figure 112008082476391-PCT00004
μ/μ의 상대적인 증가는 계수 1.02와 연계될 수 있는 반면, 가로방향의 압축, 즉, 음의 스트레스 성분(Syy)은 음의 계수 0.53과 연계될 수 있다. 결과적으로, 두 스트레스 성분(Sxx, Syy)이 모두 이동도 증가에 긍정적으로 기여할 수 있으며, 따라서 트랜지스터의 길이와 폭에 대한 트랜지스터 구성에 관계 없이 전체적인 성능이 현저하게 향상된다.
상술한 내용을 고려하여, 도 1a를 다시 참조하면, 필요한 전자 이동도 증가를 제공하는 채널 영역(103) 배향을 얻기 위하여, 그 위에 반도체층(102)이 형성되어 있는 기판(101)이 제조 시퀀스동안 적합하게 위치될 수 있다. 예를 들어, 상술한 경우에, 채널 길이 방향(X)의 배향은 채널 영역(103)에 대하여 최대의 전자의 이동도 증가를 얻도록하기 위하여 선택될 수 있다. 그러나, P-채널 트랜지스터등과 같은 다른 회로 요소들에 대한 요구사항에 따라, 결정질 특성들에 대하여 다른 X-배향들이 선택될 수 있다. 예를 들어, 실질적으로 다른 트랜지스터 유형에 대하여 다른 배향을 요구하지 않고, 기판(101) 위에 형성된 트랜지스터의 유형에 대해, 요구되는 이동도를 증가 시키는 배향(mobility increasing orientaion)을 얻기 위하여 복수의 다른 배향들에 대해 각각의 2-차원 스트레인 계산이 수행될 수 있다. 다른 예시적인 실시예들에서, 상기 디바이스(100) 유형의 트랜지스터의 전체 혹은 적어도 상당한 부분의 X-배향은 상술한 2-차원적 계산을 바탕으로 선택될 수 있으며, 반면 다른 트랜지스터 요소들은 다른 방향으로 배향될 수 있다.
다음에, 반도체층(102) 내에 각각의 실리콘-기반의 영역을 정의하기 위하여, 잘 정립된 포토리쏘그래피(photolithography), 식각, 적층 및 평탄화 기법을 기초로한, 트랜치 절연등과 같은 대응하는 분리 구조(도시하지 않음)를 기초로, 해당 제조 공정들이 수행될 수 있다. 이후, 잘 정립된 기법들을 바탕으로 각각 정의된 실리콘 영역들 내에 필요한 도판트 농도를 제공하기 위하여, 해당 주입 공정들이 수행될 수 있다. 예를 들어, 각각의 드레시홀드 전압 주입 공정들 및 이와 유사한 공정들이 수행될 수 있다. 다음에, 게이트 절연층(105) 및 게이트 전극(104)에 적합한 물질들이 산화 및/또는 적층에 의하여 형성될 수 있고, 이후 개선된 포토리쏘그래피 및 식각 기법을 바탕으로 패턴될 수 있으며, 다음 단계에서, 스트레인된 실리콘/카본 물질(109)을 형성하기 위하여 게이트 전극(104)의 상단에 대응하는 캡핑층이 또한 제공될 수 있다. 프로세스 방법에 따라, 게이트 전극(104)을 캡슐화하기 위하여 적합한 스페이서 구조들(도시하지 않음)이 형성될 수 있으며 층(102) 내에 적합한 모양의 리세스들을 형성하기 위하여 각각의 식각 공정들이 수행될 수 있고, 이후 상기 층(102)은 선택적 공정 기법들을 바탕으로 하여 스트레인된 실리콘/카본 물질(109)로 채워질 수 있다. 상기 물질(109)을 형성할 때, 복수의 다른 공정 방법들이 후속될 수 있으며, 물질(109)의 사이즈와 모양, 뿐만 아니라 채널 영역(103)으로부터의 그것의 오프셋 및 이와 유사한 것은 각각의 제조 공정들을 대응적으로 선택하고 제어하기 위한 각각의 디바이스 파라미터들을 나타낼 수 있다.
예를 들어, 도시한 실시예에서, 실리콘/카본 물질(109)은 채널 영역(103)에 적절하게 높은 오프셋으로 형성되며, 그러므로써 실리콘/카본 물질(109)은 드레인 및 소스 영역들(107) 내에 완전히 위치하고, 다른 실시예들에서, 스트레스 전달 메커니즘을 향상시키기 위하여 물질(109)이 채널 영역(103)에 가까이 위치될 수 있다. 사전, 사후, 혹은 도중에 각각의 프로세스 시퀀스가 P-채널 트랜지스터들의 성능을 향상시키기 위하여, 선택적으로 성장한 실리콘/게르마늄 물질을 형성하도록, 다른 디바이스 영역들 내에 수행될 수 있다. 더욱이, 몇가지 예시적인 실시예들에서, 상기 물질(109)를 형성하기 위한 선택적 에피텍셜 성장 공정은 인시츄 도핑된 물질을 제공하도록 디자인될 수 있으며, 그러므로써 스트레인된 물질(109) 내의 주입에 의해 야기된 손상을 줄이기 위하여 상기 영역들(107, 108) 을 형성하기 위한 주입 공정들을 줄일 수 있는 가능성을 제공한다. 예를 들어, 상기 확장 영역(108)이 상기 물질(109)의 선택적 성장 공정 전에 형성되었을 수 있다. 상기 물질(109)의 선택적 에피텍셜 성장 후에, 깊은 드레인 및 소스 영역(107)을 형성하기 위하여, 실리콘/카본 물질(109)로부터 상기 층(102) 내부로 도판트들을 확산시키도록 대응하게 디자인된 어닐링 공정이 수행될 수 있다. 다른 예시적인 실시예들에서, 깊은 드레인 및 소스 영역들(107)은, 확장 영역(108)과 마찬가지로, 상기 물질(109)의 형성 이후에 수행될 수 있는 주입 공정을 바탕으로 형성될 수 있으며, 또는 상기 물질(109)의 선택적 성장 전에 형성될 수 있다. 이를 위하여, 예를 들어, 잘 정립된 주입 기법들을 바탕으로 한 깊은 드레인 및 소스 영역들(107)의 형성에 대해 효율적인 주입 마스크로서 작용하도록 스페이서 구조(106)가 적합한 치수로 형성될 수 있다. 이후, 니켈, 백금, 코발트 또는 이들의 혼합물과 같은 적합한 난융금속(refractory metal)이 고 전도성 금속 실리사이드로 전환될 수 있는 동안, 예를 들어 게이트 전극(104)과 드레인 및 소스 영역들(107) 내에 임의의 적합한 실리사이드화 기법에 따라 스페이서 구조(106)를 바탕으로 금속 실리사이드 영역들을 형성함으로써 디바이스(100)의 추가적인 공정이 계속될 수 있다.
결과적으로, 디바이스(100)의 완성 후에, 채널 영역(103)은 X-방향의 인장 스트레인을 경험할 수 있으며, 결정 배향을 따라 배향될 수 있고 그 결과 전자 이동도가 증가될 수 있다. 반면, 예를 들어 식 2를 참조로 설명된 바와 같이, Y-방향을 따르는 각각의 압축 스트레스는 전자 이동도를 현저하게 감소시키지 않을 수 있거나, 또는 이동도를 현저하게 증가시킬 수도 있다.
도 1c는 또 다른 실시예들에 따른 트랜지스터(100)를 개략적으로 도시한다. 여기서, 스트레인된 실리콘/카본 물질이 채널 영역(103)에 매우 가까이 위치하며, 그러므로써, 상기 채널 영역(103) 내에 인장 및 압축 스트레인을 보다 효율적으로 생성할 수 있다. 도 1c에 보인 제조 단계에서, 트랜지스터(100)는 게이트 전극(104)의 상단에 형성된, 예를 들어 실리콘 질화물로 구성된 캡핑층(112)를 포함 할 수 있다. 또한, 예를 들어 실리콘 질화물 또는 임의의 다른 적합한 물질로 구성된 측벽 스페이서(113)는 게이트 전극(104)의 측벽에 형성될 수 있으며, 여기서 필요하다면, 실리콘 이산화물 라이너와 같은 적합한 라이너(111)가 게이트 전극(104)과 상기 스페이서(113)의 사이에 형성될 수 있다. (113W)로 나타낸 상기 스페이서(113)의 폭은 스트레인된 실리콘/카본 물질을 채널 영역(103)에 보다 가까이 위치시키기 위하여 디바이스-특정 요구사항들에 따라 선택될 수 있다. 이러한 목적을 위하여, 캡핑층(12)과 스페이서(113)가 신뢰적으로 게이트 전극(104)을 보호하는 동안, 트랜지스터(100)가 적합하게 디자인된 선택적 식각 공정에 노출될 수 있으며, 반면, 다른 디바이스 영역들에서, 각각의 캐비티 식각 공정이 이 디바이스 영역들 내에 요구되지 않을 때, 적합한 캡핑층이 제공될 수 있다. 또한, 이에 관한 식각 공정의 이방성 정도는 디바이스 요구사항들을 바탕으로 선택될 수 있으며, 여기서, 약 5nm 또는 그보다 훨씬 적은 범위에서의 적절하게 짧은 스페이서 폭(113W)에 대해, 게이트 절연층(105) 부근의 과도한 식각 손상을 피하기 위하여, 식각 공정의 실질적인 이방성 특성이 선택될 수 있다. 몇몇 예시적인 실시예들에서, 선택적 식각 공정 전에, 적합하게 디자인된 오프셋 스페이서(도시하지 않음)를 바탕으로 또는 스페이서(113)를 바탕으로 확장 영역들(108)이 형성되었을 수 있다. 다음에, 물질(109)을 성장시키기 위하여 선택적 에피텍셜 성장 공정(114)이 수행될 수 있으며, 앞서 설명한 바와 같이, 주입 공정들을 바탕으로 깊은 드레인 및 소스 영역들이 형성되는 경우, 상기 물질(109)은 높은 도판트 농도로 제공될 수 있거나 또는 실질적으로 진성(intrinsic) 실리콘/카본 물질로서 제공될 수 있다.
도 1d는 더 진행된 제조 단계에서, 깊은 드레인 및 소스 영역들(107) 뿐만 아니라 확장 영역들(108)과 측벽 스페이서 구조(106)가 형성된 도 1c의 디바이스(100)를 개략적으로 도시하며, 이 도시된 실시예에서, 상기 깊은 드레인 및 소스 영역들(107)은 물질(109) 내의 높은 도판트 농도를 바탕으로 확산에 의하여 형성될 수 있으며, 그러므로써 상기 물질(109) 내의 현저하게 감소된 주입에 의해 야기된손상(implantation-induced damage)을 피하거나 적어도 현저하게 감소시킬 수 있다. 더욱이, 스페이서들(106)을 바탕으로 각각의 금속 실리사이드 영역들(115)이 상기 물질(109) 및 게이트 전극(104) 내에 형성될 수 있다. 결과적으로, (109A)로 나타낸 스트레인된 실리콘/카본 물질은 채널 영역(103)에 아주 가까이 위치할 수 있으며, 그러므로써 높은 스트레스 전달 메커니즘을 제공할 수 있다. 더욱이, 확장 영역(108)이 선택적 에피텍셜 성장 공정(114) 전에 형성되었고 깊은 드레인 및 소스 영역들(107)이 확산을 바탕으로 형성되었을 때, 물질 영역(109A)은 현저하게 감소된 결함율을 제공하며, 그러므로써 그 안에 높은 정도의 인장 스트레인을 유지한다. 그러므로, 금속 실리사이드 영역들(115)에 의해 발생될 수 있는 임의의 스트레인 이완(strain relaxation)가 스트레스를 발생시키는 전체적인 메커니즘에 덜 두드러진 효과(less pronounced effect)를 미친다. 결과적으로, 도 1d에 보인 트랜지스터(100)는 매우 효율적인 스트레인을 발생시키는 메커니즘을 포함하며, 여기서, 상기 채널 길이 방향(즉, X-방향)은 영역(103) 내의 전자 이동도에 매우 긍정적인 효과를 제공하는 결정 배향을 따라 배향될 수 있다. 도시된 실시예에서, X-방향은 방향<100>을 따라 배향될 수 있으며, 여기서 층(102)의 (100)표면 배향에 대해, 채 널 폭은 또한 방향<100>을 따라 배향되며, 그러므로써 식(2)를 참조로 설명된 바와 같이 매우 효율적인 이동도 증가 메커니즘을 제공한다.
도 1e는 또 다른 예시적인 실시예들에 따른 트랜지스터(100)를 개략적으로 도시하며, 스트레인된 실리콘/카본 물질(109)를 형성하기 위한 다른 공정 방법이 적용된다. 이 실시예에서, 트랜지스터(100)는 깊은 드레인 및 소스 영역들(108)과 확장 영역들(108)을 포함할 수 있으며, 다른 실시예들에서, 이 영역들은 적합한 주입 기법들을 바탕으로 더 형성되어야만 할 것이다. 더욱이, 일 예시적인 실시예에서, 디바이스(100)는 적합한 어닐링 공정을 경험했을 것이며, 따라서 상기 영역들(108, 107)내의 도판트들은 적합한 프로파일을 갖는 PN 접합들(110)을 정의하기 위하여 층(102) 내의 그들의 의도된 위치로 확산되었을 것이다. 유사하게, P-채널 트랜지스터들등과 같은 다른 디바이스 영역들 내의 트랜지스터 요소들 또는 임의의 다른 트랜지스터들은 도 1e에 보인 형태에 비하여 매우 진보된 제조 단계에 있을 수 있다. 이 단계에서, 드레인 및 소스 영역들(107) 내에 필요한 정도의 카본 농도를 포함시키기 위하여, 주입에 의해 적합한 공정 시퀀스(116)가 수행될 수 있다. 일 예시적인 실시예에서, 공정 시퀀스(116)는 드레인 및 소스 영역들(107) 내에 실질적으로 비정질화된 영역을 제공하기 위하여 이방성화 주입 공정(amorphization implantation)을 포함할 수 있으며, 예를 들어 실리콘을 바탕으로한, 예를 들어 실리콘 이산화물로 구성된 적합하게 디자인된 라이너 물질(117)을 바탕으로 게이트 전극(104)에 대해 각각의 비정질화된 영역의 요구되는 오프셋이 확립될 수 있다. 예를 들어, 상기 라이너(117)는 상기 스페이서들(106)과 같은 적합한 스페이서 구 조와 함께 형성되었을 수 있고, 이를 근거로 하여 깊은 드레인 및 소스 영역들(107)이 이온 주입에 의해 형성되었을 수 있다. 이후, 예를 들어 높은 선택적 습식 또는 건식 화학적 식각 공정들을 바탕으로 해당 스페이서들이 제거되었을 수 있으며, 그리고 이에 관한 비정질화 주입이 수행될 수 있다. 이후, 다른 디바이스 영역들의 대응하는 비정질화가 요구되지 않을 수 있다면, 저항 마스크와 같은 해당 주입 마스크가 잘 정립된 기법을 바탕으로 형성될 수 있다.
다음에, 적합하게 형성된 주입 공정이 실질적으로 비정질화된 부분에 요구되는 카본 원자 농도를 주입하기 위하여 수행될 수 있다.
예를 들어, 1.5-4.0 원자 퍼센트 카본 농도를 포함하기 위하여 약 5 ×1015 - 5 × 1016 ions/㎠의 주입량(implantation dose)이 적합할 수 있다. 주입공정 이후, 적절히 높은 카본 농도를 포함하는 실질적으로 비정질화된 부분이 개선된 어닐링 기법을 바탕으로 재결정화 될 수 있으며, 이것은 레이저-기반의 또는 플레쉬 기반의 어닐링 방법을 포함할 수 있다. 플레쉬-기반의 또는 레이저-기반의 어닐링 공정 중에, 펄싱 방사(pulsed radiation)가 디바이스(100) 위로 향할 수 있으며, 그러므로써 재-결정화를 시작하고 카본 원자들의 활성화에 영향을 주기 위한 충분한 에너지를 축적하는 반면, 실질적으로 확장 영역(108)과 깊은 드레인 및 소스 영역들(107)의 도판트종들 그리고 다른 디바이스들의 도판트들이 외부로 확산되는 것(out-diffusion)을 억제하거나 피함으로써, PN 접합들(110)의 프로파일을 실질적으로 유지할 수 있다. 더욱이, 추가적인 어닐링 공정은 트랜지스터(100) 내의 드레 인 및 소스 영역들(107) 및 확장부(108), 그리고 또한 카본 주입을 받지 않았을 수도 있는 다른 디바이스 영역들 내에 제공된 다른 트랜지스터 요소들 내의 도판트들의 활동을 향상시킬 수 있다.
도 1f는 더 진행된 제조 단계의 트랜지스터(100)를 개략적으로 도시하며, 여기서 금속 실리사이드 영역들(115)은 추가의 스페이서 요소(118)를 바탕으로 영역들(109) 및 게이트 전극(104) 내에 형성되며, 추가의 스페이서 요소는 임의의 적합한 물질을 바탕으로 라이너(117) 위에 혹은 라이너(117)의 제거 후에 형성될 수 있다. 예를 들어, 스페이서(118)는 감소된 유전율 상수를 갖는 물질로부터 형성될 수 있으며, 그러므로써 기생 커패시턴스들을 줄일 수 있다. 결과적으로, 도 1f에 도시한 것과 같은 디바이스(100)는 채널 영역(103) 가까이에 스트레인된 실리콘/카본 물질(109)을 포함할 수 있으며, 여기서, 앞서 설명한 바와 같이, 금속 실리사이드 영역들(115)은 물질(109) 내에 스트레인 이완(strain relaxation)을 과도하게 생성하지 않을 것이다. 더욱이, 물질(109)의 형성은 전체 공정 시퀀스 내의 복수의 다른 포인트들에서 고도로 공간적으로 선택적인 방식으로 수행될 수 있기 때문에, 선택적 에피텍셜 성장 공정보다는 이온 주입을 바탕으로한 물질(109)의 형성이 종래의 CMOS 기법과 고도의 유연성 및 호환성을 제공한다. 예를 들어, P-채널 트랜지스터의 제조 흐름 또는 성능에 영향을 미치지 않고 트랜지스터 제조 시퀀스의 마지막 단계에서 공정(116)이 수행될 수 있기 때문에, 공정(116)은 에피텍셜하게 성장한 실리콘/게르마늄 물질을 바탕으로한 P-채널 트랜지스터들의 형성과 함께 고도의 유연성을 제공한다. 오히려, P-채널 디바이스들 또는 스트레인된 실리콘/카본 물질을 수용하지 않는 다른 구성요소들에서 트랜지스터 성능의 추가적인 향상은 확산이 감소된 추가적인 어닐링 공정을 제공함으로써 그리고 감소된 상대적 유전율(ralative permittivity)를 갖는 각각의 스페이서들(118)을 제공함으로써 달성될 수 있다. 채널 영역(103) 내의 전자 이동도 향상에 대해서 앞서 설명된 바와 같은 동일한 기준이 적용된다.
도 1g는 다른 예시적인 실시예들에 따른 트랜지스터(100)를 개략적으로 도시한다. 이 실시예들에서, 상기 트랜지스터(100)는 스트레인된 실리콘/카본 물질(109)을 포함하거나 포함하지 않을 수 있으며, 추가적으로 또는 대안적으로, 그 위에 높은 진성(intrinsic) 인장 스트레스를 갖는 실리콘 질화물로 구성될 수 있는 접촉 식각 정지층과 같은 스트레스된 중첩층(119)이 형성되어 있을 수 있다. 도 1g에 보인 실시예에서, 트랜지스터(100)는 그 안에 물질(109)이 형성되어 있을 수 있으며, 여기서 층(119)은 가능하게는 스페이서(106)와 함께, 스트레인된 물질(109)과 유사한 스트레스 소스로서 동작할 수 있다. 예를 들어, 층(119)의 2축 스트레스는 해당 스트레스를 영역(115) 내로 전달함으로서 드레인 및 소스 영역들(107) 내로 전달할 수 있으며, 스페이서들(106)을 통해서 채널 영역(103) 위에 작용할 수 있다. 결과적으로, 대응하는 스트레스는 채널 영역(103)의 길이 방향에서 또한 실질적으로 인장 성분을 제공할 수 있는 반면, 채널 폭 방향(즉, Y-방향)에 대응하는 압축 성분을 발생시킬 수 있다. 비록 해당 성분들의 작용은 스트레인된 물질(109)의 보다 직접적인 작용에 비해서는 덜 할 수 있지만, 예를 들어 (100)의 표면 배향을 위한 결정 배향<100>으로 채널 길이 방향의 배향을 적합하게 선택함으로써, 스 트레스된 중첩층들을 구비한 종래의 기법에 비하여 현저한 향상이 달성될 수 있다. 그러므로, 스트레인된 실리콘/카본 물질의 형성에 대한 요구 없이도 전자 이동도가 현저히 증가될 수 있다. 더욱이, 스트레스된 중첩층(119)은 스트레인된 물질(109)과 함께, 보다 향상된 메커니즘을 제공한다.
앞서 설명된 바와 같이, 채널 길이 방향은 2-차원의 스트레인 계산에 따라 선택될 수 있으며, 그후 앞서 설명된 바와 같이 N-채널 트랜지스터들 내의 필요한 전자 이동도를 얻기 위하여 P-채널 트랜지스터들 및 N-채널 트랜지스터들과 같은 트랜지스터들이 배향될 수 있다. 다른 실시예들에서, 각각의 N-채널 트랜지스터들의 수 중 일부만이 특정한 결정 배향에 대해 배향될 수 있으며, 그것의 성능을 현저하게 감소시키지는 않게 하거나 또는 향상(그러나, 덜 두드러지게)시키기 위해 다른 트랜지스터 요소들이 다르게 위치될 수 있다. 그러므로, 각각의 스트레스 소스들의 2-차원적 스트레인 특성들을 고려하여 고려중인 회로 레이아웃의 디자인이 적합하게 채택될 수 있으며, 채널 길이 방향을 따라 인장 스트레인을 유도할 수 있으며, 채널 폭 방향을 따라 압축 스트레인을 유도할 수 있다.
도 2a는 그 위에 기판(250)이 형성되어 있고, 표면 배향(100)을 가질 수 있는 실리콘-기반의 층(202)을 개략적으로 도시한다. 종래의 기법과는 대조적으로, 상기 기판(250)은 대응하는 노치(251)가 방향<110> 대신에 결정 방향<100>을 나타내게끔 하도록 준비될 수 있으며, 그러므로써 트랜지스터 요소들의 해당 채널 영역들이 방향<100>을 따라 자동적으로 배향되는 종래의 회로 디자인들 및 공정 기법들을 채택할 수 있게 한다. 결과적으로, N-채널 트랜지스터들 내의 전자 이동도의 각 각의 증가는 기존의 회로 레이아웃 및 제조 시퀀스의 수정 없이도 실질적으로 얻어질 수 있다. 다른 예시적인 실시예들에서, 도 1a-1g를 참조로 설명된 것과 유사한 구성을 가질 수 있는 제 1 트랜지스터 요소들(200)은 도 2a에 나타낸 각각의 채널 길이 방향들로 제공될 수 있으며, 다른 트랜지스터들(230), 예를 들어 P-채널 트랜지스터들이 다른 결정 배향의 그들의 각각의 채널 길이 방향들, 예를 들어, 방향<110>에 따라 배향될 수 있다. 이러한 경우에, 회로 레이아웃 및 특정한 공정들에 관한 재디자인이 수행되어야 할 것이다. 제 1 및 제 2 트랜지스터들(200 및 230)의 각각의 방향들은 단지 예시적인 성질의 것이며, 그리고 증가된 전자 이동도를 제공하는 채널 길이 방향의 다른 각각의 배향들은 앞서 설명된 바와 같은 2-차원의 스트레인에 대하 고려를 바탕으로 선택될 수 있다.
도 2b는 해당 결정 배향들(<110>, <100>)이 90도의 각도 오프셋(angular offset)을 갖도록 표면 배향이 (100)인 또 다른 예시적인 실시예에 따른 기판(250)을 개략적으로 도시한다. 결과적으로, 제 1 및 제 2 트랜지스터들(200, 230)이 90도의 각도 오프셋으로 배향될 수 있으며, 이는 다른 45도등과 같은 다른 각도 오프셋에 비하여 보다 효율적인 회로 레이아웃이 되게 할 수 있다. 예를 들어, 제 1 트랜지스터(200)가, 예를 들어 도 1a-1g를 참조로하여 설명된 것과 같은 스트레스를 발생시키는 메커니즘을 포함하는 N-채널 트랜지스터를 나타낼 때, 인장 스트레스 요소는 결정 방향<110>을 따라 실질적으로 배향될 수 있는 반면, 각각의 압축 스트레스는 방향<100>을 따라 배향될 수 있다. 이러한 경우에, 전자 이동도의 덜 효율적인 향상은 보다 효율적인 회로 레이아웃의 이익을 위하여 달성될 수 있으며, 여 기에서 그러나, 전자 이동도의 전체적인 향상은 종래의 기법들에 비해서는 여전히 상당히 크다. 유사하게, 예를 들어 식 2에서 설명한 바와 같이, 트랜지스터들(230)이 N-채널 트랜지스터들을 나타낼 수 있을 때, 결정 배향<110>에 따른 결과적인 압축 스트레스는 전체적인 이동도 증가에 효과적으로 기여할 수 있다. 결과적으로, 표면 배향(110)을 구비한 기판(250)을 사용하는 것은 채널 길이 방향에 대해 적합한 결정 배향을 선택하는 데 있어서 높은 유연성을 제공하며, 여기서 다른 트랜지스터 유형들은 동일한 방향 또는 다른 방향으로 적합하게 배향될 수 있으며, 방향(<100>, <110>)의 각도 오프셋(angular offset)은 각각의 회로 레이아웃들의 복잡도가 줄어들게 할 수 있다. 또한, 이러한 경우들에서, 과도한 디자인 복잡성 없이 복수의 다른 배향 조합들이 가능하기 때문에, 특정 트랜지스터 요소들이 각각의 N-채널 트랜지스터들과 함께, 예를 들어 앞서 설명된 바와같이, 도 2a-2b의 그룹들(200, 230)중 하나에, 스트레인된 실리콘/게르마늄 물질로 제공될 때, 고도의 유연성이 얻어질 수 있다.
결론적으로, 본 발명은 실리콘-기반의 반도체 물질의 결정 특성들에 대해 채널 길이 방향을 적합하게 선택함으로써 N-채널 트랜지스터 내의 전자 이동도를 향상시키기 위한 N-채널 트랜지스터들의 형성을 위한 발전된 기법을 제공한다. 2-차원의 스트레인 행동을 고려함으로써, 각각의 압전기 계수들이 각각의 스트레인을 발생시키는 소스를 바탕으로 N-채널 트랜지스터에 대해 적합한 배향을 결정하는데에 사용될 수 있다. 예시적인 실시예들에서, 스트레인을 발생시키는 소스는 에피텍셜 성장 기법, 주입 기법등에 의해 형성된 스트레인된 실리콘/카본 물질일 수 있으 며, 채널 길이 방향은 채널 영역 내의 인장 및 압축 스트레인의 결합된 효과를 더 효과적으로 즈가시키기 위하여 배향된다. 그러므로, N형 트랜지스터들이 결정 배향<110>을 따라 배향된 종래의 기법들과는 대조적으로, 배향<100>을 사용함으로써 종래의 구성과 비교하여 약 4배 또는 그 이상의 전자 이동도 향상되어 현저한 증가가 달성될 수 있다.
앞서 개시된 특정한 실시예들은 단지 예시적인 것으로, 본 발명은 본 명세서에 개시된 내용의 이점을 갖는 해당 기술분야의 당업자들에게는 자명한, 상이하지만 등가적인 방식으로 수정 및 실행될 수 있다. 예를 들면, 앞서 설명된 공정 단계들은 다른 순서로 실행될 수도 있다. 또한, 하기의 특허청구범위에 기술된 바를 제외하면, 여기에 도시한 구성 혹은 디자인의 세부사항에 어떠한 제한도 없다. 따라서, 상기 설명된 특정 실시예들은 변경 혹은 수정될 수 있으며, 그러한 모든 변형예들은 본 발명의 본 발명의 범위 및 정신 내에 있다고 고려된다. 따라서, 본 명세서에서 보호받고자 하는 사항은 하기의 특허청구범위에 서술된 바와 같다.

Claims (15)

  1. 반도체 디바이스로서,
    제 1 채널 길이 방향을 정의하는 제 1 채널 영역(103)을 구비한 제 1 트랜지스터(100, 200)를 포함하며, 여기서 상기 제 1 채널 영역(103)은 상기 제 1 채널 길이 방향을 따라 배향된(oriented) 인장 스트레인 성분(tensil strain component)을 구비한 결정질 실리콘 물질을 포함하며, 상기 제 1 채널 길이 방향은 실질적으 로 결정 방향<100>을 따라 배향되는 것을 특징으로 하는 반도체 디바이스.
  2. 제 1 항에 있어서,
    상기 제 1 채널 영역(103) 내의 상기 실리콘 물질은 제 1 채널 폭 방향을 따라 동작하는 압축 스트레인(compressive strain)을 더 나타내는 것을 특징으로 하는 반도체 디바이스(100).
  3. 제 1 항에 있어서,
    상기 반도체 디바이스는 상기 제 1 채널 영역(103)에 인접하여 형성된 드레인 및 소스 영역들(107, 108)을 더 포함하며, 상기 드레인 및 소스 영역들(107, 108)은 스트레인된(strained) 반도체 물질(109)을 포함하는 것을 특징으로 하는 반도체 디바이스.
  4. 제 1 항에 있어서,
    상기 반도체 디바이스는 상기 제 1 채널 길이 방향에 대하여 다른 배향을 갖는 제 2 채널 길이 방향을 정의하는 제 2 채널 영역을 구비한 제 2 트랜지스터(230)를 더 포함하는 것을 특징으로 하는 반도체 디바이스.
  5. 제 1 항에 있어서,
    상기 반도체 디바이스는 상기 제 1 트랜지스터(100, 200) 위에 형성된 제 1 스트레스된 유전체층(stressed dielectric layer)(119)을 더 포함하며, 상기 제 1 스트레스된 유전체층(119)는 인장 스트레스를 갖는 것을 특징으로 하는 반도체 디바이스.
  6. 제 1 항에 있어서,
    상기 반도체 디바이스는 제 2 채널 영역을 구비한 제 2 트랜지스터(230)를 더 포함하며, 상기 제 2 채널 영역의 길이는 상기 제 2 채널 길이 방향을 따라 배향되어 있고, 상기 제 2 채널 영역은 방향<100>과는 다른 상기 제 1 채널 길이 방향을 따르는 결정 배향을 갖는 실리콘을 포함하는 것을 특징으로 하는 반도체 디바이스.
  7. 상기 채널 영역(103) 내에 적어도 두개의 선형적으로 독립적인(lineary independent) 스트레인 성분들을 바탕으로하여 특정한 표면 배향을 갖는 실리콘-기반의 반도체층(102) 내에 N-채널 트랜지스터(100,200)가 형성되도록 인장 스트레인을 구비한 채널 영역(103)의 채널 길이 방향의 제 1 배향을 선택하는 단계와;
    상기 채널 영역(103)과 실질적으로 상기 제 1 배향을 따라 배향된 상기 채널 길이 방향을 정의하기 위하여 상기 제 1 트랜지스터(100, 200)의 드레인 및 소스 영역들(107, 108)을 형성하는 단계와; 그리고
    상기 제 1 채널 길이 방향을 따라 상기 채널 영역(103) 내에 인장 스트레인을 유도하는 단계를 포함하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서,
    상기 제 1 배향을 선택하는 단계는 채널 길이 방향의 최대 전자 이동도를 제공하는 상기 제 2 반도체층(102)의 결정 배향을 결정하는 단계와, 상기 결정된 결정 배향을 상기 제 1 배향으로서 결정하는 단계를 포함하는 것을 특징으로 하는 방법.
  9. 제 7 항에 있어서,
    상기 제 1 배향은 실질적으로 상기 반도체층 내의 배향<100>에 대응하는 것을 특징으로 하는 방법.
  10. 제 7 항에 있어서,
    채널 폭 방향을 따라 압축 스트레인을 유도(induce)하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  11. 제 7 항에 있어서,
    상기 인장 스트레인은 상기 드레인 및 소스 영역들(107, 108)의 적어도 일부 내에 스트레인된 실리콘/카본 물질(109)를 형성함으로써 유도되는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서,
    스트레인된 실리콘/카본 물질(109)을 형성하는 단계는:
    상기 반도체층(102)의 일부를 실질적으로 비정질화하는 단계와; 그리고
    상기 카본을 상기 실질적으로 비정질화된 부분 내로 주입하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  13. 트랜지스터(100, 200)의 채널 영역(103) 가까이에 스트레인된 실리콘/카본 물질(109)을 형성하는 단계를 포함하며, 상기 채널 영역(103)은 실질적으로 실리콘-기반의 층(102)의 결정 배향<100>을 따라 길이 방향을 정의하는것을 특징으로 하는 방법.
  14. 제 11 항 또는 제 13 항에 있어서,
    상기 스트레인된 실리콘/카본 물질(109)을 형성하는 단계는 실리콘 템플릿 위에 상기 실리콘/카본 물질(109)을 성장시키기 위한 선택적 에피텍셜 성장 공정(114)을 수행하는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제 11 항 또는 제 13 항에 있어서,
    상기 실리콘/카본 물질(109)을 형성하는 단계는 상기 실리콘-기반의 반도체층(102) 내에 카본을 주입하는 단계(116)를 포함하는 것을 특징으로 하는 방법.
KR1020087029317A 2006-04-28 2007-03-29 인장 스트레인을 가지며 전하 캐리어 이동도가 증가된 결정 배향을 따라 배향된 채널을 구비한 트랜지스터 KR101132823B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102006019835.2 2006-04-28
DE102006019835A DE102006019835B4 (de) 2006-04-28 2006-04-28 Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
US11/567,268 US7767540B2 (en) 2006-04-28 2006-12-06 Transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility
US11/567,268 2006-12-06
PCT/US2007/007843 WO2007130240A1 (en) 2006-04-28 2007-03-29 A transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility

Publications (2)

Publication Number Publication Date
KR20090018078A true KR20090018078A (ko) 2009-02-19
KR101132823B1 KR101132823B1 (ko) 2012-04-02

Family

ID=38542342

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087029317A KR101132823B1 (ko) 2006-04-28 2007-03-29 인장 스트레인을 가지며 전하 캐리어 이동도가 증가된 결정 배향을 따라 배향된 채널을 구비한 트랜지스터

Country Status (7)

Country Link
US (2) US7767540B2 (ko)
JP (1) JP2009535808A (ko)
KR (1) KR101132823B1 (ko)
CN (1) CN101432882B (ko)
DE (1) DE102006019835B4 (ko)
GB (1) GB2450838B (ko)
TW (1) TWI409949B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111430462A (zh) * 2019-01-10 2020-07-17 三星电子株式会社 半导体装置

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070158739A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation Higher performance CMOS on (110) wafers
JP2009076731A (ja) * 2007-09-21 2009-04-09 Renesas Technology Corp 半導体装置およびその製造方法
DE102007052053B4 (de) * 2007-10-31 2012-02-02 Advanced Micro Devices, Inc. Eine Zugverformungsquelle unter Anwendung von Silizium/Germanium-Material in global verformtem Silizium
JP2009152391A (ja) * 2007-12-20 2009-07-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法及び半導体装置
DE102008035806B4 (de) * 2008-07-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Herstellungsverfahren für ein Halbleiterbauelement bzw. einen Transistor mit eingebettetem Si/GE-Material mit einem verbesserten Boreinschluss sowie Transistor
FR2935539B1 (fr) * 2008-08-26 2010-12-10 Commissariat Energie Atomique Circuit cmos tridimensionnel sur deux substrats desalignes et procede de realisation
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8551845B2 (en) * 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
DE102011005641B4 (de) * 2011-03-16 2018-01-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Leistungssteigerung in Transistoren durch Reduzierung der Absenkung aktiver Gebiete und durch Entfernen von Abstandshaltern
US8466513B2 (en) 2011-06-13 2013-06-18 Semiconductor Components Industries, Llc Semiconductor device with enhanced mobility and method
US9040399B2 (en) 2011-10-27 2015-05-26 International Business Machines Corporation Threshold voltage adjustment for thin body MOSFETs
US8778764B2 (en) 2012-07-16 2014-07-15 Semiconductor Components Industries, Llc Method of making an insulated gate semiconductor device having a shield electrode structure and structure therefor
US9012956B2 (en) * 2013-03-04 2015-04-21 Globalfoundries Inc. Channel SiGe removal from PFET source/drain region for improved silicide formation in HKMG technologies without embedded SiGe
KR102160100B1 (ko) * 2014-05-27 2020-09-25 삼성전자 주식회사 반도체 장치 제조 방법
US9269779B2 (en) 2014-07-21 2016-02-23 Semiconductor Components Industries, Llc Insulated gate semiconductor device having a shield electrode structure
KR20170099444A (ko) 2016-02-23 2017-09-01 삼성전자주식회사 반도체 장치 및 그 제조 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5139078B1 (ko) * 1969-02-28 1976-10-26
JP3038939B2 (ja) * 1991-02-08 2000-05-08 日産自動車株式会社 半導体装置
JP3017860B2 (ja) * 1991-10-01 2000-03-13 株式会社東芝 半導体基体およびその製造方法とその半導体基体を用いた半導体装置
JP3305197B2 (ja) * 1995-09-14 2002-07-22 株式会社東芝 半導体装置
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP4030383B2 (ja) * 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7220656B2 (en) * 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
JP3927165B2 (ja) * 2003-07-03 2007-06-06 株式会社東芝 半導体装置
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7319258B2 (en) * 2003-10-31 2008-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip with<100>-oriented transistors
US7138320B2 (en) * 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
US20050116360A1 (en) * 2003-12-01 2005-06-02 Chien-Chao Huang Complementary field-effect transistors and methods of manufacture
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
SG119256A1 (en) * 2004-07-28 2006-02-28 Taiwan Semiconductor Mfg Semiconductor-on-insulator chip with <100> oriented transistors
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
JP5017771B2 (ja) * 2004-08-20 2012-09-05 日本電気株式会社 相補型電界効果型トランジスタ、および電界効果型トランジスタの製造方法
US7268399B2 (en) * 2004-08-31 2007-09-11 Texas Instruments Incorporated Enhanced PMOS via transverse stress
US7485544B2 (en) * 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111430462A (zh) * 2019-01-10 2020-07-17 三星电子株式会社 半导体装置

Also Published As

Publication number Publication date
US20070252144A1 (en) 2007-11-01
GB2450838B (en) 2011-06-08
DE102006019835A1 (de) 2007-10-31
TWI409949B (zh) 2013-09-21
US8039878B2 (en) 2011-10-18
CN101432882A (zh) 2009-05-13
US7767540B2 (en) 2010-08-03
KR101132823B1 (ko) 2012-04-02
TW200746431A (en) 2007-12-16
US20100252866A1 (en) 2010-10-07
JP2009535808A (ja) 2009-10-01
CN101432882B (zh) 2011-06-15
DE102006019835B4 (de) 2011-05-12
GB2450838A (en) 2009-01-07
GB0819846D0 (en) 2008-12-03

Similar Documents

Publication Publication Date Title
KR101132823B1 (ko) 인장 스트레인을 가지며 전하 캐리어 이동도가 증가된 결정 배향을 따라 배향된 채널을 구비한 트랜지스터
US8278174B2 (en) In situ formed drain and source regions including a strain-inducing alloy and a graded dopant profile
KR101494859B1 (ko) 내장된 스트레인층과 감소된 플로팅 바디 효과를 가진 soi 트랜지스터 및 이를 형성하는 방법
TWI387009B (zh) 藉由偏斜式預非晶形化而減少受應變之電晶體中之晶體缺陷之技術
CN103238216B (zh) 对改进型晶体管的源/漏延伸控制
KR101537079B1 (ko) 점진적으로 만들어진 형태의 구성을 가지는 매립 스트레인 유도 물질을 갖는 트랜지스터
KR101180978B1 (ko) 구동 전류 감손 없이 임계 안정성이 증가된 트랜지스터 디바이스
US20120146155A1 (en) Technique for enhancing dopant profile and channel conductivity by millisecond anneal processes
KR101422791B1 (ko) 성능 강화 물질 성분을 포함하는 스트레인드 채널 영역을 구비한 트랜지스터
US20110269278A1 (en) Stress Memorization with Reduced Fringing Capacitance Based on Silicon Nitride in MOS Semiconductor Devices
US7732291B2 (en) Semiconductor device having stressed etch stop layers of different intrinsic stress in combination with PN junctions of different design in different device regions
KR20130088134A (ko) 펀치 스루 억제부를 갖는 개선된 트랜지스터
US8338274B2 (en) Transistor device comprising an embedded semiconductor alloy having an asymmetric configuration
US20100025743A1 (en) Transistor with embedded si/ge material having enhanced boron confinement
US20120256240A1 (en) Method for increasing penetration depth of drain and source implantation species for a given gate height
WO2012062791A1 (en) Creating anisotrpically diffused junctions in field effect transistor devices
US7939399B2 (en) Semiconductor device having a strained semiconductor alloy concentration profile
US20140120677A1 (en) Methods of forming enhanced mobility channel regions on 3d semiconductor devices, and devices comprising same
TWI556320B (zh) 半導體設備製造中低的熱預算方案
US20130029463A1 (en) Methods of Forming a PMOS Device with In Situ Doped Epitaxial Source/Drain Regions
US7510926B2 (en) Technique for providing stress sources in MOS transistors in close proximity to a channel region
WO2010086154A1 (en) In situ formed drain and source regions including a strain inducing alloy and a graded dopant profile
US8685804B2 (en) Enhancement of charge carrier mobility in transistors
KR101178016B1 (ko) 구조화된 저농도 도펀트 채널들을 갖는 진보한 트랜지스터
WO2007130240A1 (en) A transistor having a channel with tensile strain and oriented along a crystallographic orientation with increased charge carrier mobility

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150302

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160303

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170302

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190227

Year of fee payment: 8