DE10050046C2 - Mehrstufige Trockenplasmaätzung mit variablem Elektrodenabstand - Google Patents

Mehrstufige Trockenplasmaätzung mit variablem Elektrodenabstand

Info

Publication number
DE10050046C2
DE10050046C2 DE10050046A DE10050046A DE10050046C2 DE 10050046 C2 DE10050046 C2 DE 10050046C2 DE 10050046 A DE10050046 A DE 10050046A DE 10050046 A DE10050046 A DE 10050046A DE 10050046 C2 DE10050046 C2 DE 10050046C2
Authority
DE
Germany
Prior art keywords
predetermined distance
etching
etch
upper electrode
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10050046A
Other languages
English (en)
Other versions
DE10050046A1 (de
Inventor
Chao-Chueh Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Promos Technologies Inc
Original Assignee
Promos Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US09/640,488 priority Critical patent/US6482744B1/en
Application filed by Promos Technologies Inc filed Critical Promos Technologies Inc
Priority to DE10050046A priority patent/DE10050046C2/de
Publication of DE10050046A1 publication Critical patent/DE10050046A1/de
Application granted granted Critical
Publication of DE10050046C2 publication Critical patent/DE10050046C2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

Die vorliegende Erfindung betrifft das Ätzen, und insbesondere ein Verfahren, bei welchem mit variablem Elektrodenabstand geätzt wird.
Für das Ätzen bei der Halbleiterbearbeitung sind bestimmte Einschränkungen vorhanden. Eine ideal anisotrope Ätzung führt dazu, daß vertikale Wände in dem Photolack oder Abdecklack und den Metallschichten gebildet werden. Da die Ätzchemikalie den oberen Abschnitt der Wand länger auflöst als den unteren Abschnitt, ist jedoch das sich ergebende Loch oben breiter als unten. Daher ist die Ätzung in gewissem Ausmaß isotrop.
Trockenätzverfahren, beispielsweise das Ätzen mit reaktiven Ionen, haben dieses Problem verringert. Trockenätzverfahren beruhen zum Teil auf dem Material von der Maskierungsschicht (normalerweise Photolack) zur Erzielung anisotroper Profile. Hierbei tritt die unerwünschte Nebenwirkung auf, daß die Ätzanisotropie von der Maskierungsmusterdichte abhängt.
Eine weitere Schwierigkeit bei bislang bekannten Ätzverfahren besteht darin, daß sich die Ätzung über die Oberfläche des Wafers ändert. Anders ausgedrückt werden bestimmte Abschnitte des Wafers zu stark geätzt, wogegen andere Abschnitte des Wafers zu wenig geätzt werden. Voranstehend wurden lediglich Beispiele für die beim Ätzen bestehenden Einschränkungen erwähnt.
In dem U.S. Patent 6,030,489 wird der Elektrodenabstand beim Ätzen eines Wafers nachgeregelt, um Parallelität zwischen den Elektroden zu gewährleisten. In der Druckschrift EP 0 266 288 B1 wird der Substrathalter auf zwei vorbestimmte Abstände zur Gegenelektrode gebracht, um auf beiden Seiten des Substrat­ halters eine Ätzreinigung durchzuführen.
Der Ätzvorgang wird in einer Ätzvorrichtung durchgeführt, beispielsweise in Vorrichtungen mit einem Dipolringmagnetron (DRM). Eine solche Vorrichtung ist in dem US-Patent Nr. 6 014 943 von Arami et al beschrieben. Bei dieser Vorrichtung wird ein Halbleiterwafer einer Plasmaatmosphäre ausgesetzt, die dadurch erzeugt wird, daß ein Prozeßgas in einen Prozeßbehälter eingelassen wird, und das Prozeßgas in ein Gas im Plasmazustand umgewandelt wird.
In den vergangenen Jahren wurde das Ausmaß der Integration von Halbleitergeräten erhöht, und wurden die kritischen Abmessungen verkleinert. Eines der schwierigeren Ätzprobleme besteht darin, gleichmäßig Kontaktdurchgangslöcher zur Verbindung mit einer Bitleitung eines DRAM-Speicherarrays auszubilden, insbesondere über der gesamten Oberfläche des Wafers.
Die Erfindung wird nachstehend anhand zeichnerisch dargestellter Ausführungsbeispiele näher erläutert, aus welchen weitere Vorteile und Merkmale hervorgehen. Es zeigt:
Fig. 1 schematisch eine Plasmaätzkammer, die bei der vorliegenden Erfindung eingesetzt wird;
Fig. 2 ein Flußdiagramm zur Erläuterung des Prozesses gemäß der vorliegenden Erfindung; und
Fig. 3 bis 7 den Einsatz des erfindungsgemäßen Verfahrens zum Ätzen eines Bitleitungskontakts in einer DRAM-Speicherzelle.
In Fig. 1 ist eine Plasmaätzeinrichtung 101 dargestellt. Die Kammer 101 weist einen zylindrischen Prozeßbehälter 103 auf, der aus Metall besteht, beispielsweise anodisiertem Aluminium. Die Prozeßkammer 103 kann luftdicht geschlossen und geöffnet werden. Die Prozeßkammer 103 selbst ist an Masse gelegt, beispielsweise durch Anschluß an eine Masseleitung.
Am Boden der Prozeßkammer 103 ist eine zylindrische Aufnahme 105, auf welcher ein Halbleiterwafer W angebracht werden kann, koaxial zum Prozeßbehälter 103 vorgesehen. Die Aufnahme 105, deren Oberfläche aus anodisiertem Aluminium besteht, dient als untere Elektrode. Die Aufnahme 105 wird durch einen Halterungsmast 107 gehaltert. Das untere Ende des Halterungsmastes 107 springt von der Bodenwand des Prozeßbehälters 103 nach außen vor. Der Halterungsmast 107 kann vertikal (wie in Fig. 1 durch einen Doppelpfeil angedeutet) bewegt werden, gesteuert durch eine Antriebswelle (beispielsweise einen Motor), die außerhalb des Prozeßbehälters 103 vorgesehen ist.
Fig. 1 zeigt die Position der Aufnahme 105 während des Ätzens. Wenn der Wafer W eingeladen/ausgeladen wird, wird die Aufnahme 105 in eine untere Position abgesenkt. Auf der Aufnahme 105 befindet sich eine elektrostatische Spannvorrichtung (nicht dargestellt) zum Haltern des Wafers W. Der Wafer W wird in einer vorbestimmten Position auf der elektrostatischen Spannvorrichtung angeordnet.
Eine kreisförmige Öffnung ist im Zentrum der oberen Wand der Prozeßkammer 103 vorgesehen. Am Umfang der kreisförmigen Öffnung ist ein nicht leitfähiger Ring 109 angebracht, der aus Aluminiumoxid oder dergleichen besteht. An dem Ring 109 ist eine obere Elektrode 111 angebracht, die so angeordnet ist, daß sie der Aufnahme 105 und daher dem dort angebrachten Wafer W gegenüberliegt, in einer vorbestimmten Entfernung. D vom Wafer W. Die gesamte obere Elektrode 101 dieser bevorzugten Ausführungsform besteht aus einem leitfähigen Material, beispielsweise aus oberflächenanodisiertem Aluminium.
Die obere Elektrode 111 weist einen hohlen Abschnitt auf, in welchen Gas über einen Gaseinlaß 113 eingelassen werden kann. In der unteren Wand der oberen Elektrode 111 sind mehrere Gasauslaßlöcher 115 in vorbestimmter Verteilung vorgesehen, zum gleichmäßigen Liefern eines Prozeßgases an die gesamte Oberfläche des Wafers W.
Bei der vorliegenden Ausführungsform wird ein vorbestimmtes Prozeßgas zugeführt, beispielsweise ein Ätzgas der CF-Gruppe, etwa CF4-Gas oder C4F8-Gas. Das Ätzgas wird von den Gasauslaßlöchern 115 der oberen Elektrode 111 mit steuerbarer Flußrate ausgestoßen, und gleichmäßig dem Wafer zugeführt, Anders ausgedrückt wird das Gas in den Plasmaerzeugungsraum zwischen der Aufnahme 105 und der oberen Elektrode 111 geliefert.
Weiterhin wird eine erste Hochfrequenzquelle 117 dazu eingesetzt, Hochfrequenzenergie mit einer Frequenz von einigen hundert kHz zu erzeugen, beispielsweise 800 kHz. Die erste Hochfrequenzquelle 117 ist an die Aufnahme 105 (die untere Elektrode) angeschlossen. Weiterhin ist eine zweite Hochfrequenzquelle 119 mit der oberen Elektrode 111 verbunden. Die zweite Hochfrequenzquelle 119 wird dazu verwendet, Hochfrequenzenergie mit einer Frequenz von beispielsweise 27,12 MHz zu erzeugen, also mit höherer Frequenz als jener der ersten Hochfrequenzquelle 117. Schließlich ist um den Umfang des Prozeßbehälters 103 herum ein Dipolringmagnet 121 als Magnetfelderzeugungsvorrichtung vorgesehen.
Es wird darauf hingewiesen, daß andere übliche Elemente der Plasmaätzeinrichtung 101 zur Vereinfachung nicht extra geschildert wurden. Fachleute auf diesem Gebiet wissen, daß bei einer derartigen Einrichtung Elemente normalerweise verwendet werden, die zum Steuern der Temperatur, des Drucks, der Gasflußrate, der Frequenz und der Leistung verwendet werden. In Bezug auf die vorliegende Erfindung interessiert primär der Abstand D zwischen der Aufnahme 105 und der oberen Elektrode 111.
Gemäß der vorliegenden Erfindung, und im Gegensatz zum Stand der Technik, wird der Abstand D während eines Ätzprozesses variiert. Es hat sich herausgestellt, daß der Einsatz des Verfahrens mit variablem Elektrodenabstand dazu führt, daß eine erhöhte Gleichförmigkeit beim Ätzen erzielt werden kann. Im einzelnen wird die Plasmaverteilung während des Ätzens durch den Elektrodenspalt beeinflußt. Ist der Spalt größer, so ist die Ätzrate im zentralen Abschnitt des Wafers schneller als am Rand. Wird der Spalt dagegen verkleinert, so ist die Ätzrate am Rand des Wafers höher als im Zentrum.
Bei herkömmlichen Verfahren wird der Spalt relativ groß gewählt, und wird die Ätzzeit verlängert, um sicherzustellen, daß in den Umfangsbereichen des Wafers die Ätzung vollständig erfolgt. Allerdings kann dies zu einer zu starken Ätzung im zentralen Abschnitt des Wafers führen.
Wie nunmehr aus Fig. 2 hervorgeht, beginnt daher ein Ätzprozeß im Kasten 201, wobei die Aufnahme 105 und die obere Elektrode 111 einen großen Abstand D aufweisen. Der Ätzprozeß dauert einen vorbestimmten Zeitraum an, und im Kasten 203 werden die Aufnahme 105 und die obere Elektrode 111 so bewegt, daß sie einen kleineren Abstand D aufweisen. Schließlich wird im Kasten 205 die Ätzung mit dem engeren Abstand D für einen zweiten vorbestimmten Zeitraum fortgesetzt.
Bei einer alternativen Ausführungsform wird die erste Ätzung unter Verwendung eines engen Abstands D durchgeführt, und die zweite Ätzung unter Verwendung eines größeren Abstandes D. Daher ist die Reihenfolge, in welcher das Ätzen erfolgt, nicht wesentlich, sondern besteht die wesentliche Erkenntnis darin, daß durch Einstellung des Abstands D verbesserte Ergebnisse erhalten werden können.
Bei einer tatsächlichen Ausführungsform beträgt, wenn eine Ätzvorrichtung mit Magnetfeldunterstützung verwendet wird, der maximale Abstand D 37 Millimeter, und der minimale Abstand D 27 Millimeter. Im Stand der Technik beträgt der Abstand D typischerweise etwa 30 bis 32 Millimeter für optimales Ätzen. Im Stand der Technik wird zum Ätzen von Oxid mit etwa 7000 bis 9000 Angström zur Ausbildung eines Kontaktdurchgangslochs zur Bitleitung eines DRAM der Abstand D auf 32 Millimeter eingestellt, und wird die Ätzung 75 Sekunden lang durchgeführt.
Im einzelnen umfaßt, wie aus den Fig. 3 bis 7 hervorgeht, der Prozeß der Ätzung eines Bitleitungskontakts das Ätzen einer Antireflexbeschichtung (ARC), die Oxidätzung (SAC1) eines ersten selbstausrichtenden Kontakts (SAC), eine zweite SAC-Oxidätzung (SAC2), und eine Zwischenschichtoxidätzung.
In Fig. 3 ist ein typischer Querschnitt einer DRAM-Speicherzelle dargestellt. Ein Gatestapel 301 wird auf einem Halbleitersubstrat 303 ausgebildet. Typischerweise ist der Gatestapel eine Zusammensetzung aus einer dünnen Oxidschicht, einer Polysiliziumschicht, und einer Silizidschicht. Über den beiden Gatestapeln 301 befindet sich eine Oxidzwischenschicht 305. Über der Oxidzwischenschicht 305 befindet sich eine Hauptoxidschicht 307, die aus Borphosphorsilikatglas (BPSG) oder aus Tetraorthoethylsilikat (TEOS) hergestellt werden kann. Als nächstes wird über der Hauptoxidschicht eine Schicht 309 mit einer Antireflexbeschichtung (ARC) ausgebildet. Schließlich wird, um den Bitleitungskontakt auszubilden, die zwischen den beiden Gatestapeln 301 nach unten zum Substrat 303 geht, eine Photolackschicht 311 abgelagert, und über der ARC 309 mit einem Muster versehen, welches eine Bitleitungsöffnung 313 einschließt. Die Photolackschicht 311 wird als Ätzmaske verwendet. Die voranstehend geschilderten Schritte erfolgen auf herkömmliche Weise wie beim Stand der Technik.
Daraufhin wird ein Ätzschritt durchgeführt, um jenen Abschnitt der ARC 309 zu entfernen, der von der Photolackschicht 311 freigelassen wurde. Bei der bevorzugten Ausführungsform unter Verwendung einer Ätzvorrichtung mit Magnetfeldunterstützung wird die Ätzung bei einem Druck von 8 Pa (60 Millitorr) durchgeführt, einer Leistung von 1400 Watt, und einem Spalt von 32 Millimeter, und zwar 40 Sekunden lang. Die verwendeten Gase sind CF4 mit einem Fluß von 1,3.10-6 m3/s bei Standardbedingungen (80 sccm ("standard cubic centimeters per minute")), O2 mit 3,3.10-7 m3/s (20 sccm), und Ar mit 1,7.10-6 m3/s (100 sccm). Nach der Ätzung ergibt sich eine Anordnung, wie sie in Fig. 4 dargestellt ist.
Daraufhin wird ein erster Teil der SAC1-Ätzung durchgeführt. Während des ersten Teils der SAC1-Ätzung wird die Ätzung bei einem Druck von 7,1 Pa (53 Millitorr), einer Leistung von 1500 Watt, und einem Spaltabstand D von 37 Millimetern über einen Zeitraum zwischen 30 und 40 Sekunden durchgeführt. Die verwendeten Gase sind C4F8 mit einem Fluß von 2.10-7 m3/s (12 sccm), CO mit 4,2.10-6 m3/s (250 sccm), und Ar mit 4,75.10-7 m3/s (285 sccm).
Daraufhin wird ein zweiter Teil der SAC1-Ätzung durchgeführt, über einen Zeitraum zwischen 40 und 35 Sekunden, wobei der Abstand D 27 Millimeter beträgt. Entsprechend wird während des zweiten Abschnitts der SAC1-Ätzung die Ätzung bei einem Druck von 7,1 Pa (53 Millitorr) und einer Leistung von 1500 Watt durchgeführt. Die verwendeten Gase sind C4F8 mit einem Fluß von 2.10-7 m3/s (12 sccm), CO mit 4,2.10-6 m3/s (250 sccm), und Ar mit 4,75.10-7 m3/s (285 sccm). Die sich ergebende Anordnung ist in Fig. 5 dargestellt.
Als nächstes wird die SAC2-Ätzung durchgeführt, um das verbleibende Hauptoxid zwischen den Gatestapeln 301 zu entfernen. Vorzugsweise wird die SAC2-Ätzung bei einem Druck von 7,1 Pa (53 Millitorr), einer Leistung von 1500 Watt, und einem Spaltabstand D von 32 Millimetern etwa 20 Sekunden lang durchgeführt. Die verwendeten Gase sind C4F8 mit einem Fluß von 1,7.10-7 m3/s (10 sccm), CO mit 4,2-10-6 m3/s (250 sccm), Ar mit 4,210-6 m3/s (250 sccm), und O2 mit 3,3.10-8 m3/s (2 sccm). Die sich ergebende Anordnung ist in Fig. 6 gezeigt.
Schließlich wird die Zwischenschichtätzung durchgeführt, um das Zwischenschichtoxid 305 zwischen den Gatestapeln 301 zu entfernen. Bevorzugt wird die Zwischenschichtätzung bei einem Druck von 6,7 Pa (50 Millitorr), einer Leistung von 500 Watt, und einem Spaltabstand D von 32 Millimetern etwa 10 Sekunden lang durchgeführt. Die verwendeten Gase sind CHF3 mit einem Fluß von 5.10-7 m3/s (30 sccm), und O2 mit 5.10-7 m3/s (30 sccm). Die sich ergebende Anordnung ist in Fig. 7 gezeigt.
Zwar wurden bevorzugte Ausführungsformen der Erfindung erläutert und beschrieben, jedoch wird Fachleuten deutlich werden, daß sich in dieser Hinsicht verschiedene Abänderungen vornehmen lassen, ohne vom Wesen und Umfang der Erfindung abzuweichen. So wurde beispielsweise eine spezielle Vorgehensweise für das Ätzen im Zusammenhang mit einer Bitleitungskontaktätzung beschrieben, jedoch kann die vorliegende Erfindung bei jedem Ätzprozeß eingesetzt werden, bei welchem eine gleichmäßige Ätzung über der gesamten Oberfläche des Wafers benötigt wird.

Claims (9)

1. Verfahren zum Ätzen in einer Plasmaätzkammer, welche eine obere Elektrode und eine Aufnahme aufweist, mit folgenden Schritten:
Einstellung der oberen Elektrode und der Aufnahme auf einen ersten vorbestimmten Abstand;
Durchführung einer ersten Ätzung bei dem ersten vorbestimmten Abstand über einen ersten vorbestimmten Zeitraum;
Einstellung der oberen Elektrode und der Aufnahme auf einen zweiten vorbestimmten Abstand; und
Durchführung einer zweiten Ätzung bei dem zweiten vorbestimmten Abstand über einen zweiten vorbestimmten Zeitraum.
2. Verfahren nach Anspruch 1, mit den weiteren Schritten:
Einstellung der oberen Elektrode und der Aufnahme auf einen dritten vorbestimmten Abstand;
Durchführung einer dritten Ätzung bei dem dritten vorbestimmten Abstand über einen dritten vorbestimmten Zeitraum.
3. Verfahren zum Ätzen eines Kontaktloches zu einer Bitleitung, wobei das Kontaktloch durch ein Photolackmuster festgelegt wird, das oben auf einer Antireflexbeschichtung ausgebildet wird, einer Hauptoxidschicht, und einer Oxidzwischenschicht, und das Ätzen in einer Plasmaätzkammer durchgeführt wird, die eine obere Elektrode und eine Aufnahme aufweist, mit folgenden Schritten:
Wegätzen der Antireflexionsbeschichtung gemäß dem Photolackmuster;
Durchführung einer ersten Ätzung der Hauptoxidschicht, wobei die erste Ätzung so durchgeführt wird, daß die obere Elektrode und die Aufnahme auf einen ersten vorbestimmten Abstand eingestellt sind;
Durchführung einer zweiten Ätzung der Hauptoxidschicht, wobei die zweite Ätzung so durchgeführt wird, daß die obere Elektrode und die Aufnahme auf einen zweiten vorbestimmten Abstand eingestellt sind;
Durchführung einer dritten Ätzung der Hauptoxidschicht, wobei die dritte Ätzung so durchgeführt wird, daß die obere Elektrode und die Aufnahme auf einen dritten vorbestimmten Abstand eingestellt sind; und
Wegätzen des freiliegenden Abschnitts der Oxidzwischenschicht.
4. Verfahren nach einem der vorigen Ansprüche, dadurch gekennzeichnet, daß der erste vorbestimmte Abstand größer als der zweite vorbestimmte Abstand gewählt wird.
5. Verfahren nach einem der Ansprüche 1-3, dadurch gekennzeichnet, daß der erste vorbestimmte Abstand kleiner als der zweite vorbestimmte Abstand gewählt wird.
6. Verfahren nach einem der Ansprüche 1-4, dadurch gekennzeichnet, daß für den ersten vorbestimmten Abstand die maximale Entfernung der Aufnahme und der oberen Elektrode bei der Plasmaätzeinrichtung gewählt wird.
7. Verfahren nach einem der Ansprüche 1-4 oder 6, dadurch gekennzeichnet, daß für den zweiten vorbestimmten Abstand die minimale Entfernung der Aufnahme und der oberen Elektrode bei der Plasmaätzeinrichtung gewählt wird.
8. Verfahren nach einem der Ansprüche 2-7, dadurch gekennzeichnet, daß der dritte vorbestimmte Abstand zwischen dem ersten und dem zweiten vorbestimmten Abstand gewählt wird.
9. Verfahren nach Anspruch 2 oder 3, dadurch gekennzeichnet, daß die Plasmaätzkammer eine Magnetfeldunterstützung aufweist und für den ersten vorbestimmten Abstand 37 Millimeter gewählt wird, für den zweiten vorbestimmte Abstand 27 Millimeter und für den dritte vorbestimmte Abstand 32 Millimeter.
DE10050046A 2000-08-16 2000-10-10 Mehrstufige Trockenplasmaätzung mit variablem Elektrodenabstand Expired - Fee Related DE10050046C2 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/640,488 US6482744B1 (en) 2000-08-16 2000-08-16 Two step plasma etch using variable electrode spacing
DE10050046A DE10050046C2 (de) 2000-08-16 2000-10-10 Mehrstufige Trockenplasmaätzung mit variablem Elektrodenabstand

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/640,488 US6482744B1 (en) 2000-08-16 2000-08-16 Two step plasma etch using variable electrode spacing
DE10050046A DE10050046C2 (de) 2000-08-16 2000-10-10 Mehrstufige Trockenplasmaätzung mit variablem Elektrodenabstand

Publications (2)

Publication Number Publication Date
DE10050046A1 DE10050046A1 (de) 2002-04-18
DE10050046C2 true DE10050046C2 (de) 2002-11-28

Family

ID=26007312

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10050046A Expired - Fee Related DE10050046C2 (de) 2000-08-16 2000-10-10 Mehrstufige Trockenplasmaätzung mit variablem Elektrodenabstand

Country Status (2)

Country Link
US (1) US6482744B1 (de)
DE (1) DE10050046C2 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003253594A1 (en) * 2002-04-23 2003-11-10 Tokyo Electron Limited Method and apparatus for simplified system configuration
TWI227752B (en) * 2002-07-01 2005-02-11 Macronix Int Co Ltd Method for decreasing number of particles during etching process and the etching process
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
JP2011049360A (ja) * 2009-08-27 2011-03-10 Tokyo Electron Ltd プラズマエッチング方法
JP6063264B2 (ja) * 2012-09-13 2017-01-18 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0266288B1 (de) * 1986-10-30 1992-01-15 Nihon Shinku Gijutsu Kabushiki Kaisha Vakuum-Beschichtungsverfahren und Vorrichtung dazu
US5336355A (en) * 1991-12-13 1994-08-09 Hughes Aircraft Company Methods and apparatus for confinement of a plasma etch region for precision shaping of surfaces of substances and films
US5354413A (en) * 1993-03-18 1994-10-11 Advanced Micro Devices, Inc. Electrode position controller for a semiconductor etching device
US6014943A (en) * 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
US6030489A (en) * 1997-01-22 2000-02-29 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for controlling etch rate when using consumable electrodes during plasma etching

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04257227A (ja) * 1991-02-08 1992-09-11 Sony Corp 配線形成方法
JP2737720B2 (ja) * 1995-10-12 1998-04-08 日本電気株式会社 薄膜形成方法及び装置
JPH1167738A (ja) * 1997-08-18 1999-03-09 Oki Electric Ind Co Ltd アッシング方法および装置
US5920796A (en) * 1997-09-05 1999-07-06 Advanced Micro Devices, Inc. In-situ etch of BARC layer during formation of local interconnects
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0266288B1 (de) * 1986-10-30 1992-01-15 Nihon Shinku Gijutsu Kabushiki Kaisha Vakuum-Beschichtungsverfahren und Vorrichtung dazu
US5336355A (en) * 1991-12-13 1994-08-09 Hughes Aircraft Company Methods and apparatus for confinement of a plasma etch region for precision shaping of surfaces of substances and films
US5354413A (en) * 1993-03-18 1994-10-11 Advanced Micro Devices, Inc. Electrode position controller for a semiconductor etching device
US6014943A (en) * 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
US6030489A (en) * 1997-01-22 2000-02-29 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for controlling etch rate when using consumable electrodes during plasma etching

Also Published As

Publication number Publication date
DE10050046A1 (de) 2002-04-18
US6482744B1 (en) 2002-11-19

Similar Documents

Publication Publication Date Title
DE69628358T2 (de) Plasmaätzmethode
DE3609681C2 (de) Verfahren zur Dünnfilmerzeugung
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
DE4314360C2 (de) Halbleitervorrichtung mit einer Mehrzahl von Kontaktlöchern unterschiedlicher Tiefe und Verfahren zur Herstellung derselben
DE4114752C2 (de) Plasmabearbeitungsverfahren und -vorrichtung
DE3103177C2 (de)
DE3706127C2 (de)
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE69534832T2 (de) Verbessertes Plasma-Ätzverfahren
CH658751A5 (de) Vorrichtung zum aetzen von werkstuecken.
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
EP0089382B1 (de) Plasmareaktor und seine Anwendung beim Ätzen und Beschichten von Substraten
DE4130391C2 (de) Verfahren zum selektiven entfernen einer schicht und dessen verwendung
DE3607844A1 (de) Verfahren und vorrichtung zur herstellung von vertiefungen und/oder ausnehmungen mit abgeschraegten aussenkanten an der oberflaeche von werkstuecken aus halbleitendem material und/oder daran aufgebrachten duennen schichten durch aetzen
EP0338102B1 (de) Verfahren zur Herstellung von integrierten Halbleiterstrukturen welche Feldeffekttransistoren mit Kanallängen im Submikrometerbereich enthalten
DE69733962T2 (de) Plasma-ätzmethode
DE69819023T2 (de) Methode, eine leitende schicht zu ätzen
EP0090067B2 (de) Reaktor für das reaktive Ionenätzen und Ätzverfahren
DE4105103A1 (de) Verfahren zum anisotropen aetzen und vorrichtung zu dessen durchfuehrung
DE69825112T2 (de) Verfahren zur Durchführung von Planarisierungs- und Grabensätzung und Vorrichtung dafür
DE10050046C2 (de) Mehrstufige Trockenplasmaätzung mit variablem Elektrodenabstand
DE69935994T2 (de) Plasmareaktor
DE10226603A1 (de) Verfahren zum Strukturieren einer Siliziumschicht sowie dessen Verwendung zur Herstellung einer integrierten Halbleiterschaltung
DE10334434A1 (de) Verfahren und Gerät zum Reinigen eines Halbleitersubstrats
DE3219284C2 (de)

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20120501