CN1938833A - 促进多孔低k膜与下方阻挡层的粘附的技术 - Google Patents

促进多孔低k膜与下方阻挡层的粘附的技术 Download PDF

Info

Publication number
CN1938833A
CN1938833A CNA2005800100282A CN200580010028A CN1938833A CN 1938833 A CN1938833 A CN 1938833A CN A2005800100282 A CNA2005800100282 A CN A2005800100282A CN 200580010028 A CN200580010028 A CN 200580010028A CN 1938833 A CN1938833 A CN 1938833A
Authority
CN
China
Prior art keywords
layer
low
film
barrier layer
pad
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800100282A
Other languages
English (en)
Other versions
CN1938833B (zh
Inventor
福兰斯马尔·斯楚弥特
亚历山德罗斯·T·迪莫斯
德里克·R·维蒂
海澈姆·穆萨德
安相和
莱斯特·A·德库兹
卡勒德·A·埃彻尔
崔振江
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1938833A publication Critical patent/CN1938833A/zh
Application granted granted Critical
Publication of CN1938833B publication Critical patent/CN1938833B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

通过形成比其上方的多孔低k膜碳含量更低、氧化硅含量更高的中间层,改善了多孔低k膜与下方的阻挡层的粘附。粘附层可以单独或者组合使用多种技术来形成。在一个方案中,紧接低k材料的沉积之前,可以通过引入富氧化气体,诸如O2/CO2等,来氧化Si前驱体,形成粘附层。在另一个方案中,在低k膜沉积之前,去除诸如α-萜品烯、异丙基苯或者其它非含氧有机物之类的热不稳定化学品。在另一个方案中,诸如引入非含硅组分的方式的硬件或处理参数可以被修改,以使得在低k膜沉积之前可以形成氧化物界面。在另一个方案中,诸如剂量和能量之类的电子束处理参数或者热退火的使用,可以被控制来去除阻挡材料和低k膜中间的界面处的碳物质。在另一个方案中,在低k沉积之前可以引入预处理等离子体,以增强阻挡界面的加热,使得在低k沉积气体被引入并且沉积低k膜时形成薄的氧化物界面。

Description

促进多孔低k膜与下方阻挡层的粘附的技术
相关申请交叉引用
此非临时专利申请要求2004年3月1日递交的美国临时专利申请No.60/558,475的优先权,其全部的公开内容以各种目的通过引用被包含在本文中。
背景技术
在现代半导体器件制造中的主要步骤之一是通过气体的化学反应在衬底上形成金属和电介质层。这样的沉积工艺被称为化学气相沉积或CVD。常规的热CVD工艺将反应气体供应到衬底表面,在衬底表面进行热引发的化学反应以产生所期望的层。某些热CVD工艺所操作的高温可能损坏具有预先形成在衬底上的多个层的器件结构。一种在较低温度下沉积金属和电介质膜的优选方法是等离子体增强CVD(PECVD)技术,诸如在标题为“Plasma-Enhanced CVD Process Using TEOS for Depositing SiliconOxide”的美国专利No.5362526中所描述的,该专利通过引用被包含在本文中。等离子体增强CVD技术通过向衬底表面附近的反应区施加射频(RF)能量,促进反应气体的激发和/或分解,由此产生高反应性物质的等离子体。所释放的物质的高反应性减小了发生化学反应所需的能量,因此降低了该PECVD工艺所需的温度。
自从在几十年前第一次引入半导体器件以来,这样的半导体器件的几何尺寸已经极大地减小了。从那以后,集成电路一般遵循两年/尺寸减半规则(通常称为摩尔定律),这意味着芯片上的器件的数量每两年翻一番。现在的制造设备常规上制造具有0.35μm甚至0.25μm特征尺寸的器件,并且下一代的设备将制造具有甚至更小特征尺寸的器件。
为了进一步减小集成电路上的器件的尺寸,必要的是使用具有低电阻率的导体材料和具有低k(介电常数<2.5)的绝缘体,以减小相邻金属线之间的电容耦合。衬垫/阻挡层已被用于导体材料和绝缘体之间,以防止诸如水分的副产物扩散到导体材料上,如1999年8月17日公开的国际公布WO 99/41423中所述的。例如,可能在形成低k绝缘体的过程中生成的水分容易扩散到导体金属的表面,并且增大导体金属表面的电阻率。由有机硅或者有机硅烷氮化物材料形成的阻挡/衬垫层可以阻挡副产物的扩散。但是,阻挡/衬垫层通常具有大于约2.5的介电常数,而高介电常数得到复合的绝缘体,其可能不会明显减小介电常数。
图1A-1E图示了如国际公布WO 99/41423中所述的三层沉积PECVD工艺,其用于沉积氧化有机硅烷或者有机硅氧烷聚合物的PECVD衬垫层2。衬垫层2充当后续层7和下方衬底表面6以及形成在衬底表面上的金属线8、9、10之间的隔离层。层7被氧化有机硅烷或者有机硅氧烷聚合物的PECVD覆盖层12覆盖。PECVD工艺沉积多组分的电介质层,其中含碳二氧化硅(SiO2)被首先沉积在形成在衬底6上的图案化金属层上,所述图案化金属层具有金属线8、9、10。
参考图1A,通过诸如甲基硅烷(CH3SiH3)的有机硅烷或者有机硅氧烷化合物以及诸如N2O的氧化气体在诸如氩的惰性气体的存在、于约50℃~350℃的温度下的等离子体增强反应,沉积PECVD衬垫层2。然后固化氧化有机硅烷或有机硅氧烷层。所沉积的PECVD衬垫层2(以每分钟约2000埃)具有改善的对于层7的后续沉积(如图1B所示)的阻挡特性。由甲基硅烷获得的衬垫层具有足够的憎水的C-H键,是优异的水分阻挡物。然后,通过硅烷化合物和过氧化氢(H2O2)的反应,将低k电介质层7沉积在衬垫层2上,在层7的沉积过程中,温度低于200℃,压力为约0.2~约5Torr。如图1C所示,在沉积覆盖层12(如图1D所示)之前,层7可以被部分固化(如图1C所示),以去除诸如水的溶剂。通过在惰性气氛、10Torr下抽空反应,进行固化。
诸如氮化硅(SiN)的常规衬垫层具有比氧化硅更高的介电常数,并且低k电介质层和高k电介质衬垫层的组合提供了很少或没有提供对于整体的叠层介电常数和电容耦合的改进。参考图1D,在沉积层7之后,通过有机硅烷或者有机硅氧烷化合物和诸如N2O的氧化气体的等离子体增强反应,可以在低k电介质层7上沉积可选的覆盖层12。参考图1E,在沉积覆盖层(如果有的话)之后,在炉子或者另一个室中固化所沉积的层,以去除残留的溶剂或水。覆盖层12也是具有良好的阻挡性质并且具有约4.0的介电常数的氧化有机硅烷或有机硅氧烷膜。衬垫层2和覆盖层12两者都具有大于3.0的介电常数,而高介电常数层大大地减小了低k电介质层7的益处。
随着器件变得越来越小,具有较高介电常数的衬垫层和覆盖层对于多组分电介质层的总的介电常数的贡献越来越大。此外,更小的器件几何尺寸导致器件之间的寄生电容增大。在电路的同一层或者相邻层上的金属互连之间的寄生电容可以导致金属线或互连之间的串扰和/或电阻/电容(RC)延迟,由此降低了器件的响应时间并且使器件的整体性能劣化。在电路的同一层或者相邻层上的金属互连之间的寄生电容的影响尤其值得担心,因为现有技术的电路可能使用4到5层互连,而下一代器件可能要求6、7或者可能8层互连。
可以通过或者增大电介质材料的厚度或者通过降低电介质材料的介电常数,来实现降低由电介质材料隔离的金属互连之间的寄生电容。但是,增大电介质材料的厚度不会解决同一金属化层或面内的寄生电容。结果,为了减小同一或者相邻层上的金属互连之间的寄生电容,人们必须将在金属线或者互连之间所使用的材料改变为具有比现在所用的材料的介电常数(即,k≈3.0)更低的介电常数的材料。
因此,仍然存在对于介电常数低于约2.5、具有良好的粘附性的电介质层的需要。
发明内容
通过形成比其上方的多孔低k膜碳含量更低、氧化硅含量更高的中间层,改善了多孔低k膜与下方的阻挡层的粘附。此粘附层可以单独或者组合使用多种技术来形成。在某些方案中,可以在形成上方的低k层之前生成粘附层。在一个这样的实施例中,可以通过引入富氧化气体(包括但不限于O2或者CO2)来氧化残留在衬垫/阻挡层的表面上的Si前驱体,来形成氧化物粘附层。根据本发明的另一个实施例,在沉积上方的低k纳米多孔膜之前,可以修改诸如非含硅组分的引入方式之类的硬件或者处理参数,以形成分立的氧化物粘附界面。根据本发明的另一个实施例,在低k沉积之前,可以将衬垫/阻挡层暴露于等离子体,由此增强对于阻挡界面的加热,使得随后在气体被引入导致低k沉积时形成薄的氧化物。在其它的方案中,可以在形成上方的低k层之后生成粘附层。在一个这样的实施例中,可以控制低k材料的退火参数(包括但不限于退火环境、热退火温度)和电子束退火参数(诸如剂量或能量),以去除阻挡材料和低k膜之间的界面处的碳和其它物质。
根据本发明的用于促进纳米多孔低k膜和下方的衬垫/阻挡层之间的粘附的方法的实施例包括:提供具有衬垫/阻挡层的衬底。在所述衬垫/阻挡层上形成氧化硅粘附层。在所述粘附层上沉积低k膜,并且固化所沉积的低k膜,以在其中形成纳米孔。
根据本发明的用于促进纳米多孔低k膜和下方的衬垫/阻挡层之间的粘附的方法的实施例包括:提供具有衬垫/阻挡层的衬底;在所述衬垫/阻挡层上沉积低k膜。向所述低k膜施加电子束辐射,以在其中产生孔并且沿着所述衬垫/阻挡层和所述低k膜的界面减小碳含量,由此在所述衬垫/阻挡层和所述低k膜之间形成氧化物粘附层。
根据本发明的用于集成电路的互连结构的实施例包括:衬垫/阻挡层;所述衬垫/阻挡层上的氧化硅粘附层;以及所述粘附层上的纳米多孔低k层。
通过参考随后的结合附图的详细描述,可以进一步理解根据本发明的
实施例。
附图说明
图1A-1E是通过本领域已知的工艺沉积在衬底上的电介质层的示意图;
图2是配置来用于根据本发明的用途的示例性CVD反应器的剖视图;
图3是用于在进入到图2的反应器之前分解处理气体的远程微波室的示意图;
图4是结合图2的示例性CVD反应器使用的工艺控制计算机程序产品的流程图;
图5是示出了在根据本发明的一个实施例的沉积工艺中沉积衬垫层和覆盖层所进行的步骤的流程图;
图6A-6F是通过图5的工艺沉积在衬底上的层的示意图;
图7是示出了包括本发明的氧化硅层的双镶嵌结构的剖视图;
图8A-8H是示出了本发明的双镶嵌沉积工序的一个实施例的剖视图;
图9描绘了多个不同的膜叠层的傅立叶变换红外(FTIR)光谱。
具体实施方式
根据本发明的实施例涉及单独使用或者组合使用的多种技术,其改善纳米多孔低k膜和下方阻挡层之间的粘附。
通过引用为了各种目的将美国专利No.6541367和No.6596627包括在本文中。这些专利所描述的是沉积具有低介电常数的纳米多孔氧化硅层。通过含硅/氧材料(其可选地包含热不稳定的有机基团)的等离子体增强化学气相沉积(PECVD)或者微波增强化学气相沉积、并且通过对所沉积的含硅/氧材料的受控退火以形成均匀分散在氧化硅层中的微气孔,来形成纳米多孔氧化硅层。微气孔对于氧化硅层的相对体积被控制,以优选地在退火后保持提供低介电常数的闭孔泡沫结构。纳米多孔氧化硅层将具有小于约3.0、优选小于约2.5的介电常数。
通过将含可氧化硅化合物或者包含可氧化硅组分和具有热不稳定机基团的不饱和的不含硅组分的混合物与氧化气体反应,化学气相沉积硅/氧材料。氧化气体是氧气(O2)或者含氧化合物,诸如氮的氧化物(N2O)、臭氧(O3)和二氧化碳(CO2),优选O2或者N2O。
当需要在所沉积的膜中获得期望的碳含量时,优选分解氧气和含氧化合物,以增加反应性。可以将RF功率耦合到沉积室,以增大氧化化合物的分解。也可以在氧化化合物进入到沉积室之前在微波室中对其进行分解,以减少含硅化合物的多余分解。氧化硅层的沉积可以是连续的或者间断的。虽然沉积优选在单个沉积室中进行,但是也可以在两个或者更多个沉积室中顺序地进行层沉积。此外,RF功率可以被周期或者脉冲提供,以减小对衬底的加热并且促进所沉积的膜中的更大的孔隙率。
可氧化含硅化合物或者混合物的可氧化硅组分包括有机硅烷或者有机硅氧烷化合物,其一般包括如下结构:
Figure A20058001002800091
其中,每一个Si连接至少一个氢原子,并且可以连接到1个或者2个碳原子,C被包括在有机基团中,该有机基团优选烷基或亚烷基(诸如CH3-、-CH2-CH3、-CH2-、或-CH2-CH2-)或者其氟化碳衍生物。当有机硅烷或者有机硅氧烷化合物包括两个或者更多个Si原子时,每一个Si由-O-、-C-、或者-C-C-与另一个Si隔开,其中每一个桥连C被包括在有机基团中,该有机基团优选烷基或亚烷基(诸如-CH2-、-CH2-CH2-、-CH(CH3)-、或-C(CH3)2-)或者其氟化碳衍生物。优选的有机硅烷和有机硅氧烷化合物在室温附近是气体或者液体,并且在约10Torr以上被汽化。合适的含硅化合物包括:
甲基硅烷                                CH3-SiH3
二甲基硅烷                              (CH3)2-SiH2
二甲硅烷基甲烷                          SiH3-CH2-SiH3
二(甲基甲硅烷基)甲烷                    CH3-SiH2-CH2-SiH2-CH3
2,4,6-三硅杂噁烷                      -(-SiH2-CH2-SiH2-CH2-SiH2-O-)-(环状)
环-1,3,5,7-四硅杂-2,6-二氧杂-4,8-  -(-SiH2-CH2-SiH2-O-)2-(环状)
二甲撑
1,3,5-三硅杂环己烷                    -(-SiH2-CH2-)3-(环状)
1,3-二甲基二硅氧烷                     CH3-SiH2-O-SiH2-CH3
1,1,3,3-四甲基二硅氧烷               (CH3)2-SiH-O-SiH-(CH3)2
1,1,5,5-四甲基三硅氧烷               (CH3)2-SiH-O-SiH2-O-SiH-(CH3)2
1,1,3,5,5-五甲基三硅氧烷    (CH3)2-SiH-O-SiH(CH3)-O-SiH-(CH3)2
及其氟化碳衍生物,诸如,1,2-二硅甲基四氟乙烷。有机硅烷和有机硅氧烷中的烃基可以被部分或者完全氟化,以将C-H键转变为C-F键。优选的有机硅烷和有机硅氧烷化合物中的许多都是商业上可得的。可以使用两种或者更多种有机硅烷或有机硅氧烷的组合,以提供所期望的性质(诸如介电常数、氧化物含量、憎水性、膜应力和等离子体刻蚀特性)的组合。
当可氧化硅组分形成具有拥有热不稳定基团的不饱和不含硅组分的化合物时,所述有机硅烷或有机硅氧烷化合物是拥有硅氧键和硅氢键两者的官能团。具有所述键合要求的优选官能团包括:
甲基硅氧基(CH3-SiH2-O-)和
二甲基硅氧基((CH3)2-SiH2-O-)。
具有热不稳定基团的不饱和不含硅组分具有如下性质:与等离子体维持氧化环境反应以形成沉积的热不稳定分子,该热不稳定分子在随后暴露于升高的温度时,发生热分解以形成具有低沸点的挥发性物质。热不稳定基团的挥发性物质从所沉积膜分解和放出将在结构中留下空洞,减小结构的密度。通过热工艺选择性去除所沉积的膜中的包埋的经化学反应的固体材料,得到低密度膜,其具有低介电常数。由于如下的非平面的环结构,使用诸如2,4,6-三硅杂噁烷(2,4,6-三硅杂四氢呋喃)和环-1,3,5,7-四硅杂-2,6-二氧杂-4,8-二甲撑的某些化合物,在退火期间可以形成空洞,而不用添加不稳定基团:
环-1,3,5,7-四硅杂-2,6-二氧杂-4,8-二甲撑-(-SiH2-CH2-SiH2-O-)2-(环状);
2,4,6-三硅杂四氢呋喃-SiH2-CH2-SiH2-CH2-SiH2-O-(环状)
热不稳定有机基团包含足够的氧,以在氧化硅层退火时形成气态产物。
当可氧化硅组分形成具有拥有热不稳定基团的不饱和不含硅组分的化合物时,优选的热不稳定基团是不含硅的多不饱和环烯烃(具有2个或者更多个碳-碳双键),包括杂环二烯烃(其分子结构中包括氧或氮)在内,其一般在等离子体环境中起作用。优选的不稳定基团包括:
二氧杂芑,C4H4O2         -(-CH=CH-O-CH=CH-O-)-环状
呋喃,C4H4O                  -(-CH=CH-CH=CH-O-)-,环状
富烯,C6H6                   -(-CH=CH-CH=CH-C(CH2)-)-,环状
包含可氧化硅组分和热不稳定基团的可氧化含硅化合物包括:
甲基甲硅烷基-1,4-二氧杂芑基醚     CH3-SiH2-O-(C4H3O2)
2-甲基硅氧烷基呋喃                 -(-CH=CH-CH=C(O-SiH2-CH3)-O-)-,
                                   环状
3-甲基硅氧烷基呋喃                 -(-CH=CH-C(O-SiH2-CH3)=CH-O-)-,
                                   环状
2,5-二(甲基硅氧基)-1,4-二氧杂芑  -(-CH=C(O-SiH2-CH3)-O-CH=C(O-
                                   SiH2-CH3)-O-)-,环状
3,4-二(甲基硅氧烷基)呋喃          -(-CH=C(O-SiH2-CH3)-C(O-SiH2-
                                   CH3)=CH-O-)-,环状
2,3-二(甲基硅氧烷基)呋喃          -(-CH=CH-C(O-SiH2-CH3)=C(O-SiH2-
                                   CH3)-O-)-,环状
2,4-二(甲基硅氧烷基)呋喃          -(-CH=C(O-SiH2-CH3)-CH=C(O-SiH2-
                                   CH3)-O-)-,环状
2,5-二(甲基硅氧烷基)呋喃          -(-C(O-SiH2-CH3)=CH-CH=C(O-SiH2-
                                   CH3)-O-)-,环状
1-甲基硅氧烷基富烯                 -(-CH=CH-CH=CH-C(CH(O-SiH2-
                                   CH3))-)-,环状
2-甲基硅氧烷基富烯                 -(-CH=CH-CH=CH-C(CH2)(O-SiH2-
                                   CH3)-)-,环状
6-甲基硅氧烷基富烯                 -(-C(O-SiH2-CH3)=CH-CH=CH-
                                   C=CH-)-,环状
二(甲基硅氧烷基)富烯               (C6H4)(O-SiH2-CH3)2,环状
二甲基甲硅烷基-1,4-二氧杂芑基醚   (CH3)2-SiH-O-(C4H3O2),环状
2-二甲基硅氧烷基呋喃               -(-CH=CH-CH=C(O-SiH-(CH3)2)-O-)-
                                   ,环状
3-二甲基硅氧烷基呋喃               -(-CH=CH-C(O-SiH-(CH3)2)=CH-O-)-
                                   ,环状
2,5-二(甲基硅氧基)-1,4-二氧杂芑  -(-CH=C(O-SiH-(CH3)2)-O-CH=C(O-
                                   SiH-(CH3)2)-O-)-,环状
3,4-二(甲基硅氧烷基)呋喃          -(-CH=C(O-SiH-(CH3)2)-C(O-SiH-
                                   (CH3)2)=CH-O-)-环状
2,3-二(甲基硅氧烷基)呋喃          -(-CH=CH-C(O-SiH-(CH3)2)=C(O-
                                   SiH-(CH3)2)-O-)-环状
2,4-二(甲基硅氧烷基)呋喃          -(-CH=C(O-SiH-(CH3)2)-CH=C(O-
                                   SiH-(CH3)2)-O-)-环状
2,5-二(甲基硅氧烷基)呋喃          -(-C(O-SiH-(CH3)2)=CH-CH=C(O-
                                   SiH-(CH3)2)-O-)-环状
1-二甲基硅氧烷基富烯               -(-CH=CH-CH=CH-C(CH(O-SiH-
                                   (CH3)2))-)-,环状
2-二甲基硅氧烷基富烯               -(-CH=CH-CH=CH-C(CH2)(O-SiH-
                                   (CH3)2)-)-,环状
6-二甲基硅氧烷基富烯               -(-C(O-SiH-(CH3)2)=CH-CH=CH-
                                   C=CH-)-,环状
二(甲基硅氧烷基)富烯               (C6H4)(O-SiH-(CH3)2)2,环状
及其氟化碳衍生物。优选地,所述化合物在室温下是液体,并且可以在10Torr或者更大的压强附近汽化。这样的化合物与氧化气体反应,以形成凝胶状的含硅/氧材料,所述材料在低于约50℃的温度下保留大量的不稳定有机基团。
通过将反应性化合物与包含1个或者多个不稳定有机基团的不含硅组分混合,可以增大在所沉积的含硅/氧材料中保留的不稳定有机基团的量。不稳定有机基团包括对于所述含硅反应化合物所描述的二氧杂芑、呋喃和富烯衍生化学品以及其它含氧基团。不稳定有机基团优选是包含在同一分子中的含硅和不含硅组分,但是,除了那些不含甲基硅氧烷基基团的化学品之外(诸如1,4-二氧杂芑和呋喃),甲基甲硅烷基或者甲基硅氧烷基被乙烯基取代,或者甲基硅氧烷基被酯基取代,或者甲基硅氧烷基被其它的不含硅有机基团取代。优选的不含硅多不饱和环烯烃(具有2个或者更多个碳-碳双键)包括:
乙烯基-1,4-二氧杂芑基醚        CH2=CH2-O-(C4H3O2),环状
乙烯基-呋喃基醚                 CH2=CH2-O-(C4H3O),环状
乙烯基-1,4-二氧杂芑            CH2=CH2-(C4H3O2),环状
乙烯基-呋喃                     CH2=CH2-O-(C4H3O),环状
糠酸甲基酯                      CH3C(O)-O-(C4H3O),环状
甲酸呋喃酯                      (C4H3O)-COOH,环状
乙酸呋喃酯                      (C4H3O)-CH2COOH,环状
呋喃甲醛                        CH(O)-(C4H3O),环状
二呋喃甲酮                      (C4H3O)2C(O),环状
二呋喃醚                        (C4H3O)-O-(C4H3O),环状
二糠基醚                        (C4H3O)C(O)-O-C(O)(C4H3O),环状
呋喃                            C4H4O(环状)
1,4-二氧杂芑                   C4H4O2,(环状)
及其氟化碳衍生物。
或者,不含硅组分可以与不含不稳定有机基团的反应性含硅材料混合,所述反应性含硅材料诸如是:
甲基硅烷                                CH3-SiH3
二甲基硅烷                              (CH3)2-SiH2
二甲硅烷基甲烷                          SiH3-CH2-SiH3
二(甲基甲硅烷基)甲烷                    CH3-SiH2-CH2-SiH2-CH3
2,4,6-三硅杂噁烷                      -(-SiH2-CH2-SiH2-CH2-SiH2-O-)-(环状)
1,3,5-三硅杂环己烷                    -(-SiH2-CH2-)3-(环状)
环-1,3,5,7-四硅杂-2,6-二氧杂-4,8-  -(-SiH2-CH2-SiH2-O-)2-(环状)
二甲撑
1,3-二甲基二硅氧烷                     CH3-SiH2-O-SiH2-CH3
1,1,3,3-四甲基二硅氧烷               (CH3)2-SiH-O-SiH-(CH3)2
1,1,5,5-四甲基三硅氧烷               (CH3)2-SiH-O-SiH2-O-SiH-(CH3)2
1,1,3,5,5-五甲基三硅氧烷  (CH3)2-SiH-O-SiH(CH3)-O-SiH-(CH3)2
及其氟化碳衍生物。
受热不稳定和非受热不稳定化合物的组合可以被共沉积,以设计膜性质。共沉积化合物的优选实施例包括选自甲基甲硅烷基-1,4-二氧杂芑醚或者2-甲基硅氧烷基呋喃的受热不稳定化合物和选自2,4,6-三硅杂噁烷(2,4,6-三硅杂四氢呋喃)或环-1,3,5,7-四硅杂-2,6-二氧杂-4,8-二甲撑的非受热不稳定的化合物。
可以被有利地使用的共沉积杂环非受热不稳定分子是具有可忽略的环张力非平面环状分子,并且该共沉积杂环非受热不稳定分子以无规则取向沉积。对于2,4,6-三硅杂噁烷和环-1,3,5,7-四硅杂-2,6-二氧杂-4,8-二甲撑,甲硅烷基与亚甲基的双重键合可以为所得到的膜提供改善的热稳定性和更好的机械性能。非平面分子可以提供所沉积膜内的相对减小的叠层密度,由此产生低介电膜。
在将含硅/氧材料沉积为膜之后,优选地在逐渐升高的温度下对膜进行退火,以将不稳定有机基团转变为在纳米多孔氧化硅层中分散的气孔,所述纳米多孔氧化硅层由于优选的闭孔泡沫结构而具有低的介电常数。
在优选实施例中,将本发明的纳米多孔氧化硅层沉积在PECVD氧化硅、氮化硅、氧氮化硅、或者氢化碳化硅(例如,可从加利福尼亚SantaClara的应用材料公司商购的BLOKTM层材料)阻挡层上,所述阻挡层通过1种或多种反应性含硅化合物的等离子体辅助反应,被沉积在图案化的金属层上。然后,在施加RF功率或者远程微波功率的同时在同一多室集成CVD系统中沉积纳米多孔氧化硅层,随后使用不断升高的温度曲线(可选地到约350℃~约400℃)加热纳米多孔氧化硅层。可选地,在同一室中或者在用于沉积阻挡层的相邻集成工具处理室中,例如使用氢化碳化硅(BLOKTM)覆盖纳米多孔氧化硅层。衬垫和覆盖层充当保护纳米多孔氧化硅层的阻挡物。
在升高的温度下进行固化期间或之后使用憎水性化学品处理多孔氧化硅层,改善了所沉积的膜的耐水性。所使用的化学品优选选自由六甲基二硅氮烷、三甲基甲硅烷基二乙胺、苯基二甲基甲硅烷基二乙胺、三甲氧基甲硅烷基二甲基胺、三(三氟甲基)甲硅烷基二甲基胺、二(三甲基甲硅烷基)肼、1-苯基二甲基甲硅烷基-2-甲基肼、1-甲氧基甲硅烷基-2-甲基肼、1-三(三氟甲基)甲硅烷基-2-甲基肼、三甲基氯硅烷、三甲基溴硅烷、三甲基硅烷或其组合。
可以通过氧化硅、氮化硅、氧氮化硅、或者氢化碳化硅(BLOKTM)的等离子体辅助化学气相沉积(CVD),来沉积衬垫和覆盖层。
本发明的进一步描述将涉及用于沉积本发明的纳米多孔氧化硅层的具体设备。
示例性CVD等离子体反应器
一种可以在其中实施本发明的方法的合适CVD等离子体反应器是可从加利福尼亚Santa Clara的应用材料公司商购的“DLK”室,其被示于图2,其中图2是具有高真空区115的平行板化学气相沉积反应器110的垂直剖视图。反应器110包含气体分配歧管111,用于通过歧管中的通孔向衬底或者置放在衬底支撑板或支座112上的衬底(没有示出)分散处理气体,其中,所述衬底支撑板或支座112由抬升电机114升高或降低。诸如一般用于TEOS的液体喷射的液体喷射系统(没有示出)也可以被提供来喷射液体反应物。优选的液体喷射系统包括都可从应用材料公司得到的AMAT气体精确液体喷射系统(GPLIS)和AMAT扩展精确液体喷射系统(EPLIS)。
反应器110包括诸如通过电阻加热线圈(没有示出)或者外部灯(没有示出),对处理气体和衬底进行加热。参考图2,支座112被安装在支撑杆113上,使得支座112(以及支座112的上表面所支撑的衬底)可以被可控地在下加载/去载位置和上处理位置之间移动,所述上处理位置紧邻歧管111。
当支座112和衬底处在处理位置114时,它们被绝缘体117包围,并且处理气体被排出至歧管124中。在根据图2所示和描述的具体DLK设计中,衬底可以被座放在支座的上表面中的凹槽中,凹槽的尺寸允许在晶片边缘和凹槽壁之间存在约2mm的间隙。
在处理期间,到歧管111的气体输入在衬底的表面上沿径向均匀地分布。具有节流阀的真空泵132控制气体从室排出的速率。
在达到歧管111之前,沉积气体和载气通过气体管线118被输入到混合系统119,在此它们被结合并随后送到歧管111。具有施加器管120的可选微波系统150(示于图3)可以被布置在用于氧化气体的输入气体管线上,以提供在进入到反应器110之前仅仅分解氧化气体的附加能量。微波施加器提供从约0~约6000W的功率。一般来说,用于每种处理气体的处理气体供应管线18包括:(i)安全关断阀(没有示出),其可以用于自动地或者手动地关断处理气体到室中的流动;以及(ii)质量流量控制器(也没有示出),其测量通过气体供应管线的气体流量。当有毒气体被用于该工艺时,数个安全关断阀以常规的配置被布置在每个气体供应管线上。
在反应器110中进行的沉积工艺可以是在经冷却的衬底底座上进行的非等离子体工艺或者是等离子体增强工艺。在等离子体工艺中,通过从RF功率源125施加到分配歧管111(支座112接地)的RF能量,一般在衬底附近形成受控的等离子体。或者,RF功率可以被提供到支座112,或者RF功率可以以不同的频率提供到不同的部件。RF功率源125可以供应单频RF功率或者混频RF功率,以增强引入到高真空区115中的反应物质的分解。混频RF功率源一般向分配歧管111供应约13.56MHz的高RF频率(RF1)功率,向支座112供应约360KHz的低RF频率(RF2)功率。
本发明的氧化硅层最优选使用低电平或者脉冲电平的高频RF功率来制备。脉冲RF功率优选在约10%~约30%的工作周期期间提供约20W~约200W的13.56MHz RF功率。如将在下面更详细描述的,非脉冲的RF功率优选提供约10~约150W的13.56MHz RF功率。低功率沉积优选在从约-20℃~约40℃的温度范围内进行。在优选的温度范围内,所沉积的膜在沉积过程中部分聚合,并且在随后的膜固化过程中完成聚合。
当需要额外分解氧化气体时,可选的微波室可以用于在氧化气体进入沉积室之前向其输入从约0~约3000W的微波功率。单独增加微波功率将会避免硅化合物在与氧化气体反应之前的多余分解。当微波功率被添加到氧化气体时,具有用于硅化合物和氧化气体的独立通道的气体分配板是优选的。
一般来说,室衬里、气体输入歧管面板、支撑杆113、以及各种气体反应器硬件中的一些或者全部由诸如铝或者阳极化铝的材料制成。这样的CVD反应器的一个实例是在标题为“Thermal CVD/PECVD Reactor andUse for Thermal Chemical Vapor Deposition of Silicon Dioxide and In-situMulti-step Planarized Process”的美国专利5000113中所描述的,该美国专利授予了Wang等,并且被转让给本发明的受让人应用材料公司。
抬升电机114在处理位置和下方的衬底加载位置之间升高和降低支座112。电机、气体混合系统119和RF功率源125由系统控制器134通过控制线136控制。反应器包括由系统控制器134控制的模拟组件,诸如质量流量控制器(MFC)和标准或者脉冲RF发生器,所述系统控制器134执行储存在存储器210中的系统控制软件,在优选实施例中,所述存储器210是硬盘驱动器。电机和光学传感器用于移动和确定可移动机械组件(诸如真空泵132的节流阀和用于定位支座112的电机)的位置。
系统控制器134控制CVD反应器的所有动作,并且控制器134的优选实施例包括硬盘驱动器、软盘驱动器、和卡架。卡架包含单片计算机(SBC)、模拟和数字输入/输出板、接口板和步进电机控制器板。系统控制器符合Versa Modular Europeans(VME)标准,该标准限定了板、卡盒、以及连接器的尺寸和类型。VME标准还限定了具有16位数据总线和24位地址总线的总线结构。
图3是根据本发明的实施例,用于在其进入DLK反应器110之前分解诸如水的处理气体的远程微波系统150的简化图。远程微波系统150包括施加器管120、包括紫外(UV)灯154和UV功率源155的等离子体激发系统、包括各种长度的直线的和曲线的波导部分156和波导耦合158(其可以在接头157处被连接到一起)的微波波导系统、输出波导部分160、以及磁电管168。波导部分156还可以在其中形成有臂支撑162,用于附接到安装在臂底座166上的枢轴臂164。枢轴臂包括耦合到臂接头163的臂部件165,臂接头163提供了多个臂部件之间的垂直分离,并且允许臂164围绕臂接头163的旋转运动。臂接头163是垂直布置的圆筒,其在臂接头163的底部耦合到一个臂部件165,并且在臂接头163的顶部耦合到第二臂部件165。在处理反应器110的操作和维护过程中,臂部件165在臂接头163的端部处的附接允许多个臂部件的垂直分离,并且允许了布置臂164由此微波系统的灵活性。
磁电管168是典型的磁电源,其能够操作0~3000W之间的约2.45GHz频率的微波连续波(CW)或者脉冲输出。当然,也可以使用其它的磁电管。循环器(没有示出)仅仅允许从磁电管168向施加器管120传送微波传输。调谐系统170可以使用短棒调谐器或者其它的调谐元件,该调谐系统170向微波系统150提供将波导部分160的负载与波导的特性阻抗相匹配的能力。根据具体的实施例,调谐系统170可以提供固定调谐、手动调谐或者自动调谐。在具体的实施例中,波导部分具有矩形横截面,但是也可以使用其它类型的波导。
施加器管120是由复合材料或者陶瓷材料(优选氧化铝)或者其它耐游离基刻蚀的材料制成的圆形(或其他横截面)管。在一个具体实施例中,施加器管120具有约18-24英寸的长度,约3-4英寸的横截面直径。施加器管120穿过波导部分160布置,波导部分160在一端是开口的,用于传输微波,并且在另一端用金属壁封端。微波穿过波导部分160的开口端传输到施加器管120内的气体,施加器管120对于微波是透明的。当然,诸如蓝宝石的其它材料也可以用于施加器管120的内部。在其它实施例中,施加器管120可以具有金属外部和由复合材料或者陶瓷材料制成的内部,其中波导部分160的微波进入穿过施加器管120的外部的窗口到达管120的暴露内部,以对气体赋能。
上述的方法可以在由诸如图2中所示的控制器134的基于处理器的系统控制器的控制的系统中实施。图4示出了处理系统或者反应器110的框图,该反应器110诸如是图2中所描绘的,具有可以以这样的容量使用的这类系统控制器134。系统控制器134包括可以与存储器210、大容量存储设备215、输入控制单元245和显示器单元255一起工作的可编程中央处理单元(CPU)。系统控制器还包括公知的辅助电路214,诸如电源、时钟225、高速缓存235、输入/输出(I/O)电路240等,其耦合到DLK工艺反应器110的各种部件,以便于控制沉积工艺。控制器134还包括硬件,用于通过室中的传感器(没有示出)监控衬底处理。这样的传感器测量系统参数,诸如衬底温度、室气压等。上述的所有元件都耦合到控制系统总线230。
为了便于如上所述的对于室的控制,CPU 220可以是可以任何形式的用于控制各种室和子处理器用的工业装置的通用计算机处理器中的一种。存储器210被耦合到CPU 220,并且可访问系统总线230。存储器210或者计算机可读介质215可以是一种或多种可容易获得的存储器,诸如随机访问存储器(RAM)、只读存储器(ROM)、软盘驱动器、硬盘、或者任何其它形式的数字储存方式(当地或远程)。辅助电路214被耦合到CPU 220,用于以常规的方式支持处理器。沉积工艺一般储存在存储器210中,通常储存为软件例程。软件例程也可以由第二CPU(没有示出)储存和/或执行,所述第二CPU对于由CPU 220控制的硬件远程布置。
存储器210包含指令,CPU 220执行该指令,以促进处理系统10的性能。存储器中的指令是程序代码的形式,诸如实施本发明的方法的程序200。程序代码可以符合多种不同编程语言中的任何一种。例如,编程代码可以以C、C++、BASIC、Pascal、或者多种其它语言编写。
大容量存储设备215储存数据和指令,并且从诸如磁盘或者磁带的处理器可读存储介质获得数据和程序代码指令。例如,大容量存储设备215可以是硬盘驱动器、软盘驱动器、带驱动器、或者光盘驱动器。大容量存储设备215响应于其从CPU 220获得的命令,储存并获得指令。由大容量存储设备215储存和获得的数据和程序代码指令被处理器单元220使用,用于操作处理系统。首先,由大容量存储设备215从介质获得数据和程序代码指令,然后将其传输到存储器210,由CPU 220使用。
输入控制单元245将数据输入设备(诸如键盘、鼠标、光笔)通过系统总线230耦合到处理器单元220,以提供对于室操作者的输入的接收。显示单元255在CPU 220的控制下以图像显示和文字数字符号形式向室操作者提供信息。
控制系统总线230在所有耦合到控制系统总线230的设备之间提供数据和控制信号的传输。虽然控制系统总线被示为直接连接CPU 220中的设备的单个总线,但是控制系统总线230可以是多个总线的集合。例如,显示单元255、输入控制单元245(连同输入设备)以及大容量存储设备215可以被被耦合到输入-输出外围总线,而CPU 220和存储器210被耦合到本地处理器总线。本地处理器总线和输入-输出外围总线耦合到一起,形成控制系统总线230。
系统控制器134经由系统总线230和I/O电路240被耦合到用于根据本发明的电介质沉积工艺的处理系统10的多个元件。I/O电路240经由CPU 220和系统总线230从储存在存储器210中的程序200得到指令。程序200提供程序子例程,程序子例程可以使I/O电路240能够提供对于反应器110的衬底定位控制250、处理气体控制260、压强控制270、加热器控制280、以及等离子体/微波控制290。
当执行诸如在图4的流程图中所描绘的本发明的方法的实施例的程序200之类的程序时,CPU 220形成变为专用计算机的通用计算机。虽然本发明在此被描述以软件实现并且在通用计算机上执行,但是本领域的技术人员将认识到,本发明可以使用诸如专用集成电路(ASIC)或者其它硬件电路之类的硬件实施。因此,应该理解本发明可以部分或者全部以软件、硬件或者软件硬件两者来实现。
上述关于CVD系统的描述主要是为了说明的目的,并且可以使用其它的等离子体CVD装置,诸如电极回旋加速共振(ECR)等离子体CVD设备、感应耦合RF高密度等离子体CVD设备等。此外,对于上述系统的变化,诸如对于支座设计、加热器设计、RF功率连接的定位等的变化也是可以的。例如,衬底可以由电阻加热的支座支撑和加热。用于形成本发明的经预处理的层的预处理和方法不限于任何特定的装置或者等离子体激发方法。下面将详细讨论其它装置的使用。
纳米多孔氧化硅层的沉积
本发明的纳米多孔氧化硅层可以使用图2的PECVD或者微波室以图5中所示的四层工艺来沉积。参考图5,在300,衬底被布置在反应器110中,并且在305,通过PECVD工艺由包含反应性含硅化合物的等离子体沉积衬垫/阻挡层。根据本领域已知的方法,沉积步骤305可以包括在处理室15中电容耦合的等离子体、或者同时电感和电容耦合的等离子体。可以使用诸如He、Ar和N2的惰性气体产生等离子体。诸如He的惰性气体常用于PECVD沉积,以帮助等离子体的生成。
接着,在步骤307,粘附层被形成在衬垫/阻挡层上方。粘附层包括含碳氧化硅层,该含碳氧化硅层比上方的多孔低k膜的碳含量低,并且氧化硅含量高。在此工艺过程中氧气的流量可以影响粘附层中碳的百分比含量。高氧气流量可以在粘附层中得到较少的碳,而低氧气流量可以在粘附层中得到更多的碳。此外,高RF功率可以用于此步骤,以由于碳氧化导致较少的碳添加到膜中的方式,分解含碳物质。如下文所详细描述的,此粘附层可以利用许多不同的技术(单独使用或者组合使用)来形成。如图5所示,这些技术中的一些可以在沉积纳米多孔层之后形成粘附层。
然后在310,通过沉积还包含不稳定有机基团的含硅/氧材料,在粘附层上沉积本发明的多孔层。
接着,在步骤311中,所沉积的含硅/氧材料的受控退火形成均匀分布在该层中的微气孔。在一些实施例中,此退火步骤可以采用施加热能量的形式。在其它实施例中,退火可以采用施加辐射的形式,例如以电子束的形式。
在本发明的一个方面,所沉积的层可以通过电子束(e束)技术固化。e束处理可以在同一处理系统中(例如从一个室转移到另一个室而不破坏真空)就地进行。在下面的通过引用包含在本文中的美国专利描述了各种装置和工艺,这些装置和技术可以用于根据本发明形成的纳米多孔低k层的电子束固化:美国专利No.5003178、美国专利No.5468595、美国专利No.6132814、美国专利No.6204201、美国专利No.6207555、美国专利No.6271146、美国专利No.6319655、美国专利No.6407399、美国专利No.6150070、美国专利No.6218090、美国专利No.6195246、美国专利No.6218090、美国专利No.6426127、美国专利No.6340556、美国专利No.6319555、美国专利No.6358670、以及美国专利No.6255035。
e束处理包括施加或者暴露于在约0.5KeV~约30KeV(例如约2KeV~约10KeV,诸如4KeV)能量下的约10μC/cm2~约1000μC/cm2(例如约800μC/cm2)的剂量。剂量可以变化。例如,已经观察到约10μC/cm2~约1000μC/cm2之间的剂量导致形成在200mm和300mm衬底上的层固化。
电子束一般在如下条件下产生:约1mTorr~约100mTorr的压强,在包括惰性气体(包括氮气、氦、氩、氙)、氧化气体(包括氧气)、还原气体(包括氢气、氢气和氮气的混合物、氨气、或者这些气体的任意组合)的气体环境中。电子束电流的范围从约1mA~约40mA,更优选从约2mA~约20mA。电子束可以覆盖从约4平方英寸到约700平方英寸的面积。e束处理装置工作范围从约25℃~约450℃,例如约400℃。
虽然可以使用任何e束设备,但是一种示例性设备是可从加利福尼亚Santa Clara的应用材料公司获得的EBK室。e束处理在标题为“MethodFor Curing Low Dielectric Constant Film By Electron Beam”的美国专利申请No.10/302,375(AMAT 7625)中有更充分的描述,该美国专利申请于2002年11月22日递交,并且为了各种目的通过引用被包含在本文中。
接着,在步骤315,覆盖层随后被沉积在该层上,优选使用类似于用于沉积衬垫层的工艺。然后在320,将衬底从反应器110取出。
参考图6A-6F,四层工艺提供了PECVD衬垫/阻挡层400。衬垫/阻挡层400充当随后的纳米多孔层402和下方的衬底表面404以及形成在衬底表面上的金属线406、408和410之间的隔离层。
然后,粘附层407被形成在衬垫/阻挡层400上方。此粘附层比随后将要形成的上方的多孔低k层的碳含量要低、氧化硅含量要高。下面将具体地讨论低k粘附层的形成。
纳米多孔层402被含硅化合物的PECVD覆盖层412覆盖。利用储存在用于CVD反应器110的计算机控制器134的存储器220中的计算机程序,实施和控制此工艺。
参考图6A,通过引入反应性含硅化合物和氧化气体,在反应器110中沉积PECVD衬垫/阻挡层400。处理气体在等离子体增强环境中反应,以在衬底表面404和金属线406、408、410上形成保形的氧化硅层400。
参考图6B,然后可以在衬垫/阻挡层400上方形成粘附层407。下面将详细描述此粘附层的形成。
现在参考图6C,由处理气体沉积纳米多孔层402,所述处理气体由含硅不稳定化合物和氧化气体组成。处理气体流量的范围对于含硅不稳定混合物为从约20到约1000sccm,对于氧化气体为约5到约4000sccm。优选的气体流量的范围对于含硅不稳定混合物为从约50到约500sccm,对于氧化气体为约5到约2000sccm的流率。这些流率是对于具有大约5.5~6.5升的体积的室给出的。优选地,在纳米多孔层402的沉积过程中,反应器110被保持在约0.2~约5Torr的压强下。
纳米多孔层402被固化(如图6D所示),以在沉积覆盖层412(如图6E所示)之前去除挥发性组分。在惰性气氛下同时将衬底加热到逐渐升高的温度,可以在反应器110中固化。
纳米多孔层402可以在逐渐升高的温度下退火,以将气体产物保持为分散的微气泡,和/或将可选的不稳定有机基团转变为分散的微气泡,所述微气泡以优选闭孔的结构作为空洞保留在固化的氧化硅膜中。一个具体的退火工艺包括约5分钟的加热时间周期、以约50℃/分钟逐渐升高温度到约350℃~约400℃之间的最终温度。通过改变温度/时间特性曲线并且通过控制所沉积的膜中不稳定有机基团的浓度,可以控制气泡的分布。
或者,或与热退火相结合,纳米多孔层402可以通过暴露于特定能量和剂量的电子束辐射来退火。如在下面详细描述的,在特定的条件下,电子束退火可以导致沿着衬垫/阻挡层和上方的多孔低k层之间的界面形成氧化物粘附层。
参考图6E,反应器110沉积覆盖层412,覆盖层412优选是与用于PECVD衬垫层400的沉积相同的材料并且通过相同的方法。参考图6F,在覆盖层412的沉积之后,所沉积的层在从约200℃到约450℃的温度下在炉子中或者在另一个室中进行进一步退火,以去除诸如水的残留挥发性产物。当然,根据所沉积的膜的所期望的特性,处理条件可以变化。
粘附层的形成
如上所述,形成低k电介质层的工艺包括沉积材料,随后对该材料进行退火以去除热不稳定基团并在其中产生纳米多孔。一般来说,此纳米多孔低k电介质层包括碳含量小于10%的氧化硅,并且被沉积在一般具有高得多的碳含量(30%或者甚至更高)的衬垫/阻挡层上。纳米多孔低k电介质层与下方的衬垫/阻挡材料在组成和结构上的显著差异,可能影响它们之间的粘附。为了改善这些层之间的粘附,还可以在低k沉积步骤之前实施对膜或者衬底加热的单独步骤。
因此,本发明的实施例提出了在它们之间形成单独的氧化硅层,以促进粘附。根据本发明的这样的氧化硅粘附层较上方的低k膜碳含量更低并且氧化硅含量更高,并且可以利用多种不同技术(单独使用或者组合使用)中的任何一种来形成。
根据某些实施例,可以在沉积上方的低k层之前形成粘附层,所述低k层稍后被退火以形成纳米多孔材料。在一个具体实施例中,可以通过紧接低k沉积步骤之前引入富氧化气体,形成粘附层。可以流入以形成粘附层的富氧化气体的实例包括但不限于:分子氧(O2)、二氧化碳(CO2)、臭氧(O3)、过氧化氢(H2O2)、氧化氮(N2O)、及其混合物。这样的利用富氧化气体流对于衬垫/阻挡层的预处理导致Si前驱体的氧化,由此在形成上方的纳米多孔低k材料之前产生氧化硅粘附层。
为了研究根据本发明的实施例的粘附层的形成,提供了具有下面的表1中所列举的组成的膜叠层:
为了形成具有与此膜叠层有利的粘附性的纳米多孔低k层,改变工艺参数(如下面的表2中所总结的),其中,形成低k材料的含硅组分是二乙氧基甲基硅烷,并且形成低k材料的非含硅组分是α-萜品烯:
表2中列出的处理步骤中的每一个在Applied Materials Producer DxZ室中进行,没有施加低频RF功率,加热器温度为225℃,压强为8Torr,二乙氧基甲基硅烷的He载气流率为1000sccm,氧气流率为200sccm。
表1
 层#  层的组成  层厚度(埃)
 6  BlokTM阻挡/衬垫  2000
 5  SiN  500
 4  Cu晶种  2000
 3  TaN 250(加起来)
 2  Ta
 1  氧化硅电介质  4500
 0  衬底  直径300 mm
表2
 参数  处理步骤
 稳定化  粘附层初始化  低k沉积
 持续时间(秒)  20  4  52
 喷淋头到面板间距(密耳)  500  500  300
 高频RF功率(W)  0  300  670
 二乙氧基甲基硅烷流率(g/min)  0.4  0.4  1.2
 α-萜品烯流率(g/min)  0  0  3.3
 α-萜品烯He载气流率(sccm)  4000  4000  2000
在表2所示的具体工艺流程中,在初始化过程中α-萜品烯流被停止,以减小所得的氧化硅粘附层中的碳浓度。用于低k材料的沉积的喷淋头和面板之间的间距被减小,以提高沉积速率。减小的用于低k材料的沉积的喷淋头和面板之间的间距导致更热的衬底,因为衬底被更靠近面板放置。此外,更靠近的间距导致增大的等离子体密度,与富碳膜相反,这有利于氧化硅膜的形成。此增大的等离子体密度类似于使用更高功率的RF能量。
在沉积时,低k材料具有2812埃的厚度。所沉积的低k材料然后被暴露于用于固化的电子束辐射,这将其厚度减小到约1970埃。电子束固化在400℃的温度下使用3keV的功率、1.5mA的电流、和150μC/cm2的剂量来进行。
对于包含表1的具有被沉积的低k层的膜叠层的参考衬底而言,在暴露于电子束固化之后,施加约4.3J/m2的力(Gc)导致低k层与下方的BlokTM分离。对于经受相同的条件但是具有根据本发明的氧化物粘附层的衬底而言,施加超过约5.5J/m2的Gc力导致BlokTM与下方的氮化物分离,或者氮化物与下方的铜分离。此结果表明,分离纳米多孔低k层与下方的BlokTM所需的Gc力大于参考晶片的4.3J/m2Gc。
图9示出了包括根据本发明的实施例的氧化物粘附层的膜叠层的各种傅立叶变换红外(FTIR)光谱。图9表明了在上方的低k层的沉积之后、并且在电子束固化处理步骤之后,出现了粘附层。如图9的光谱所示的,粘附层包括硅和氧,但是相对很少的碳和氢。
上述的实例仅仅代表用于形成根据本发明的氧化物粘附层的工艺流程的一个具体实施例。可以使用其它的工艺参数和这些参数的值。这样的工艺参数可以变化,以参照用于化学气相沉积(CVD)的其它工艺流程优化此工艺。任何参数可以被变化,以优化所得到的粘附层的厚度、均一性或者其它性能。
根据的本发明的另一个实施例,可以在沉积低k材料之前通过用等离子体对衬垫/阻挡层进行预处理,形成氧化物粘附层。这样的等离子体预处理将增强衬垫/阻挡层的表面处的加热、促进Si前驱体在衬垫/阻挡层上的反应以形成氧化硅层。在某些实施例中,可以在氧化环境中形成等离子体。
根据本发明的另一个实施例,例如在沉积低k材料的初始阶段中,可以在通过等离子体暴露加热之后,在一个单独的步骤或者与随后的步骤相结合,引入氧化气体。因为低k材料的沉积一般是等离子体辅助的,所以这样的根据本发明的等离子体预处理步骤可以方便地在同一室中进行,得到高的产量。而且,衬垫/阻挡层也可以通过等离子体辅助沉积来形成,因此等离子体预处理步骤可以在同一室中利用从在前的沉积步骤保留的等离子体来完成。
对于任何的上述预处理或者预沉积步骤,在从预处理/预沉积过渡到低k沉积的过程中,RF将被持续地施加(即,背靠背RF)。这是因为在预处理/预沉积和沉积之间等离子体的任何停止或中断将增大影响所得器件的正常功能的粒子生成的危险。此外,预处理/预沉积和沉积之间的中断可能导致在沉积步骤的开始出现富碳初始层。因此,过渡或者层前富氧化硅膜的使用与在过渡步骤过程中连续的RF功率施加的结合是理想的。
虽然图6B-6C示出了在上方的电介质材料的沉积之前形成粘附层(其中,所述电介质层随后被退火以形成纳米多孔低k层),但是这不是本发明所必须的。根据本发明的可选实施例,粘附层可以在上方的低k材料的沉积之后形成。
根据本发明的一个这样的实施例,通过调节后续退火步骤的参数以允许去除在衬垫/阻挡材料和上方的低k材料之间的界面处的碳物质,可以在低k层的沉积之后形成粘附层。由于电子束辐射暴露,通过去除诸如α-萜品烯、异丙基苯或者其它非含氧有机物之类的热不稳定化学品,可以形成碳耗尽富氧化物的粘附层。在一个其中施加电子束辐射以对所沉积的膜进行退火的方案中,诸如所施加的辐射的剂量和能量之类的参数可以被调节,以将更多的在较小深度处的碳沿着与下方的衬垫/阻挡材料的界面移到所沉积的低k膜中。
在另一个方案中,可以控制热退火步骤的条件,以获得相同的结果,即沿着界面碳含量减小并且氧化物含量增大。当然,对于这样的热退火条件的控制也可以与电子束退火结合使用。
如在上面详细描述的,根据本发明的实施例的氧化硅粘附层可以单独或者组合地利用各种技术来形成。但是在形成之后,这样的氧化物粘附层预计将具有约10-100埃的厚度,碳含量在约0-10%之间。
进行了一系列的实验,来评价利用各种方法形成的根据本发明的粘附层的性能。在所有方案中,低k层被沉积在具有BlokTM层的硅晶片上,并且所沉积的膜用具有4KeV的能量和150C/cm2的剂量的电子束辐射退火5分钟,使得纳米多孔膜具有5000埃的厚度。在没有氧化物粘附层的第一参考晶片中,将纳米多孔低k层从下方的BlokTM分离所需的力(Gc)为4.0GPa。
第二晶片具有氧化物粘附层,所述氧化物粘附层通过如下方式来形成:将BlokTM层暴露于在300W的施加功率下的200sccm的分子氧流,由此生成等离子体并且氧化存在于其上的Si前驱体。第三晶片具有氧化物粘附层,所述氧化物粘附层通过如下方式来形成:将BlokTM层暴露于在300W的施加功率下的更高流率(400sccm)的分子氧流。第四晶片具有氧化物粘附层,所述氧化物粘附层通过如下方式来形成:将BlokTM层暴露于在500W的施加功率下的400sccm的分子氧流,由此生成等离子体。
对于第二到第四晶片,在纳米多孔低k材料与BlokTM层分离之前,观察到BlokTM与下面的Si晶片分离。这表明将纳米多孔低k层与下面的BlokTM分离所需的力(Gc)明显大于参考晶片的4.0GPa。
双镶嵌结构的沉积
根据本发明的实施例所制备的优选的双镶嵌结构500被示于图7中,并且在图8A-8H中顺序地图示描绘了制造该结构的方法,其中图8A-8H是其上形成有对应本发明的步骤的结构的衬底的剖视图。
包括纳米多孔金属间电介质层510的双镶嵌结构500被示于图7中。根据本发明沉积的金属间电介质层510和514具有小于3的极低的介电常数,并且常常被称为极低k(或ELk)电介质层。优选由本发明的纳米多孔氧化硅层组成的第一电介质层510被沉积在衬底502上。衬底包含形成在接触层衬底材料504中的图案化的导体线506,氧化硅、氮化硅、氧氮化硅、或者无定型的氢化碳化硅(BLOKTM)(优选氮化硅)的第一(或者衬底)刻蚀停止层508沉积在其上。
氧化硅、氮化硅、氧氮化硅、或者无定型的氢化碳化硅(BLOKTM)的第二刻蚀停止层512沉积在第一电介质层510上。然后,可以将第二粘附层511形成在层512上,如上面所讨论的。
优选由本发明的纳米多孔氧化硅层组成的第二电介质层514被沉积在第二粘附层511上,第三刻蚀停止层516沉积在第二电介质层514上。所沉积的这些层被刻蚀以形成过孔520,然后在保形沉积在过孔520中的阻挡层522之上在过孔520中填充优选是铜的导体金属524。然后,将该结构平面化,并且在其上沉积包含氮化硅、氧化硅、氧氮化硅或者氢化碳化硅(优选包含氮化硅)的覆盖层518。覆盖层518还充当衬底刻蚀停止层,并且对应于用于随后的双镶嵌多层互连的第一刻蚀停止层508。
如图8A所示,氮化硅、氧化硅、氧氮化硅或者无定型氢化碳化硅(优选氮化硅)的第一(或者衬底)刻蚀停止层508被沉积在衬底上502上到约1000埃的厚度。衬底502包括形成在接触层衬底材料504中的图案化的导体互连或者线506。第一刻蚀停止层508可以包含如上文中所详细讨论的粘附层509。
根据本发明将第一纳米多孔电介质层510沉积在第一刻蚀停止层508上。第一电介质层510具有约5000埃~约10000埃的厚度,这取决于将被制造的结构的尺寸,但是优选具有约5000埃的厚度。然后将第一电介质层510在约350℃~约400℃的温度下进行退火,以从层510去除挥发性污染物。
诸如氧氮化硅的第二刻蚀停止层512被沉积在电介质层510上至约500埃的厚度。
如上文所详细讨论的,第二刻蚀停止层512包含粘附层511。此氧化物粘附层一般具有约10-100埃的厚度。
然后,第二纳米多孔电介质层514以约5000埃~约10000埃(优选约5000埃)的厚度沉积在粘附层511上,然后在约350℃~约400℃的温度下进行退火。
氮化硅、氧化硅、氧氮化硅或者无定型氢化碳化硅(BLOKTM)(优选氮化硅)的第三刻蚀停止层516被沉积在第二电介质层514上到约500埃~约1000埃的厚度,优选约1000埃。然后,将厚度为约2000埃的氧化硅层517沉积在第三刻蚀停止层516上,以充当硬刻蚀掩模以及进一步用于化学机械抛光(CMP)步骤。然后,分别将抗反射涂层(ARC)519和包含光刻胶层521的沟槽光掩模沉积在氧化硅层517上。然后,通过本领域已知的常规光刻技术图案化光刻胶层521。
然后,通过本领域已知的常规技术,优选通过使用氟碳化学剂的刻蚀工艺刻蚀氧化硅层517,以暴露出第三刻蚀停止层516,如图8B所示。氧化硅层517的初步刻蚀确立了双镶嵌结构500的开口宽度或者沟槽宽度。形成在氧化硅层517中的开口宽度限定形成在第二刻蚀停止层514上的双镶嵌结构500的水平互连。然后,灰化或者干法去除残留的光刻胶521,以准备过孔刻蚀。为了形成双镶嵌结构的接触或者过孔宽度,第二抗反射涂层519和光刻胶层521然后被分别沉积在薄的氧化硅层517上方,然后通过光刻术图案化,以将第三刻蚀层516暴露过孔的宽度,如图8C所示。
参考图8D,将第三刻蚀停止层516和第二电介质层514进行沟槽刻蚀,以暴露第二刻蚀停止层512。然后,通过如下方法形成过孔520:使用各向异性刻蚀技术将第二电介质层514过孔刻蚀到第二刻蚀停止层512,以由氧化硅层517确定的宽度限定金属化结构(即,互连和过孔/接触);以在第三刻蚀停止层516、第二电介质层514和第二刻蚀停止层512的刻蚀过程中所确定的过孔宽度将第一电介质层510刻蚀到第一刻蚀停止层508,如图8E所示。使用氧气剥离或者其它合适的工艺去除任何用于图案化第二刻蚀停止层512或者第二电介质层514的光刻胶或者ARC材料。图8F示出了保护衬底502的第一刻蚀停止层508的刻蚀,暴露出了下方的在接触层衬底材料504中的图案化金属线506。图案化金属线506优选包含诸如铜的导体金属。然后,在随后的层沉积之前通过本领域已知的常规手段预清洁双镶嵌结构500。
然后,由诸如铝、铜、钨或其组合的导体材料形成金属化结构。当前的趋势是使用铜来形成更小的特征,因为铜具有低的电阻率(1.7mW-cm,相比之下,铝为3.1mW-cm)。优选地,如图8G所示,诸如氮化钽之类的合适的阻挡层522首先被保形沉积在金属化图案520中,以防止铜迁移到周围的硅和/或电介质材料中。此后,使用化学气相沉积、物理气相沉积、或者电镀(优选电镀)沉积铜层524,以形成导体结构。一旦结构被填充铜或者其它金属,利用化学机械抛光平面化表面,并且用覆盖层518覆盖该表面,如图8H所示,覆盖层518优选地包含氮化硅并且具有约1000埃的厚度。在平面化表面之前,可以在氢气氛中对金属进行退火,以重结晶铜填充物,并且去除可能形成在结构500中的空洞。虽然没有示出,但是当通过电镀工艺沉积铜层524时,在铜层524之前可以沉积铜晶种层。然后,可以重复双镶嵌形成工艺,以沉积其它的互连层,其中,现代的微处理器集成电路具有5或6层互连层。
同样,虽然上面示出和描述的双镶嵌形成工艺在沉积低k层之前包括形成粘附层,但是不是本发明的所有实施例都要求这样。可替换的实施例可以在沉积低k层之后形成粘附层。
实例
下面的实例说明了具有分散的微气体空洞的基于氧化硅的纳米多孔膜的沉积。此实例采用化学气相沉积室(具体地,由加利福尼亚Santa Clara的应用材料公司制造并销售的CENTURA“DLK”系统)来实施。
具有含硅以及受热不稳定组分(有条件的)的硅化合物
在1.0Torr的室压强和30℃的温度下,由汽化并且如下的流入反应器的反应性气体沉积基于氧化硅的纳米多孔膜:
甲基甲硅烷基-2-呋喃基醚  150sccm
氧化氮(N2O)          1000sccm。
在进入室之前,将氧化氮在提供2000W的微波能量的微波施加器中分解。衬底被布置从距离气体分配喷淋头600密耳,并且反应性气体的引入持续2分钟。然后,将衬底加热超过5分钟时间长度,以50℃/min升高衬底的温度到400℃的温度,以固化和退火基于氧化硅的纳米多孔膜。
含硅化合物和添加的受热不稳定化合物(有条件的)的混合物
在1.0Torr的室压强和30℃的温度下,由汽化并且如下的流入反应器的反应性气体沉积基于氧化硅的纳米多孔膜:
环-1,3,5,7-四硅杂-2,6-二氧杂-4,8-二甲撑  100sccm
乙烯基-2-呋喃基醚                             50sccm
氧化氮(N2O)                               1000sccm。
在进入室之前,将氧化氮在提供2000W的微波能量的微波施加器中分解。衬底被布置从距离气体分配喷淋头600密耳,并且反应性气体的引入持续2分钟。然后,将衬底加热超过5分钟时间长度,以50℃/min升高衬底的温度到400℃的温度,以固化和退火基于氧化硅的纳米多孔膜。
具有含硅以及受热不稳定组分的硅化合物和添加的含硅化合物(有条 件的)
在1.0Torr的室压强和0℃的温度下,由汽化并且如下流入反应器的反应性气体沉积基于氧化硅的纳米多孔膜:
甲基甲硅烷基-2-呋喃基醚                         100sccm
环-1,3,5,7-四硅杂-2,6-二氧杂-4,8-二甲撑    50sccm
氧化氮(N2O)                                 1000sccm
在进入室之前,将氧化氮在提供2000W的微波能量的微波施加器中分解。衬底被布置从距离气体分配喷淋头600密耳,并且反应性气体的引入持续2分钟。然后,将衬底加热超过5分钟时间长度,以50℃/min升高衬底的温度到400℃的温度,以固化和退火基于氧化硅的纳米多孔膜。
虽然上面所述的是对于本发明的具体实施例的完整说明,但是可以使用各种修改、变化和替换。这些等同物和替换物被包括在本发明的范围中。因此,本发明的范围不限于所描述的实施例,而是由所附权利要求和其等同物的全部范围所确定。

Claims (18)

1.一种用于促进纳米多孔低k膜和下方的衬垫/阻挡层之间的粘附的方法,所述方法包括:
提供具有衬垫/阻挡层的衬底;
在所述衬垫/阻挡层上形成氧化硅粘附层;
在所述粘附层上沉积低k膜;以及
固化所沉积的所述低k膜,以在其中形成纳米孔。
2.如权利要求1所述的方法,其中,提供所述衬底包括提供具有碳化硅衬垫/阻挡层的衬底。
3.如权利要求1所述的方法,其中,形成所述氧化物粘附层包括在沉积所述低k膜之前将所述衬垫/阻挡层暴露于氧化气体。
4.如权利要求3所述的方法,其中,形成所述氧化物粘附层还包括将所述氧化气体暴露于含硅气体。
5.如权利要求1所述的方法,其中,形成所述氧化物粘附层包括在所述氧化气体的沉积之前将所述衬垫/阻挡层暴露于等离子体。
6.如权利要求5所述的方法,其中,在沉积所述衬垫/阻挡层之后所述等离子体被持续地维持。
7.如权利要求1所述的方法,其中,通过施加电子束辐射固化所沉积的所述低k膜。
8.如权利要求7所述的方法,其中,施加所述电子束辐射沿着所述衬垫/阻挡层和所述低k膜的界面减小碳含量,由此导致所述氧化物粘附层的形成。
9.一种用于促进纳米多孔低k膜和下方的衬垫/阻挡层之间的粘附的方法,所述方法包括:
提供具有衬垫/阻挡层的衬底;
在所述衬垫/阻挡层上沉积低k膜;以及
向所述低k膜施加电子束辐射,以在其中产生孔并且沿着所述衬垫/阻挡层和所述低k膜的界面减小碳含量,由此在所述衬垫/阻挡层和所述低k膜之间形成氧化物粘附层。
10.如权利要求9所述的方法,其中,提供所述衬底包括提供具有碳化硅衬垫/阻挡层的衬底。
11.如权利要求9所述的方法,还包括在沉积所述低k膜之前将所述衬垫/阻挡层暴露于氧化气体。
12.如权利要求9所述的方法,还包括在沉积所述低k膜之前将所述氧化气体暴露于含硅气体。
13.如权利要求12所述的方法,还包括在沉积所述低k膜之前将所述衬垫/阻挡层暴露于等离子体。
14.如权利要求13所述的方法,其中,在沉积所述衬垫/阻挡层之后所述等离子体被持续地维持。
15.一种用于集成电路的互连结构,所述互连结构包括:
衬垫/阻挡层;
所述衬垫/阻挡层上的氧化硅粘附层;以及
所述粘附层上的纳米多孔低k层。
16.如权利要求15所述的互连结构,其中,
所述衬垫/阻挡层包括碳含量为至少约30%的碳化硅层;
所述纳米多孔低k层包括碳含量为10%或者更少的掺碳氧化硅层;
所述氧化硅粘附层包括碳含量小于10%的氧化硅。
17.如权利要求15所述的互连结构,其中,所述低k层具有2.5或者更小的介电常数值。
18.如权利要求15所述的互连结构,还包括所述衬垫/阻挡层下方的铜金属化层。
CN2005800100282A 2004-03-31 2005-03-24 促进多孔低k膜与下方阻挡层的粘附的方法及互连结构 Expired - Fee Related CN1938833B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US55847504P 2004-03-31 2004-03-31
US60/558,475 2004-03-31
PCT/US2005/009969 WO2005098925A1 (en) 2004-03-31 2005-03-24 Techniques promoting adhesion of porous low k film to underlying barrier layer

Publications (2)

Publication Number Publication Date
CN1938833A true CN1938833A (zh) 2007-03-28
CN1938833B CN1938833B (zh) 2010-12-22

Family

ID=34964189

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800100282A Expired - Fee Related CN1938833B (zh) 2004-03-31 2005-03-24 促进多孔低k膜与下方阻挡层的粘附的方法及互连结构

Country Status (6)

Country Link
US (1) US7547643B2 (zh)
JP (1) JP4842251B2 (zh)
KR (1) KR101141459B1 (zh)
CN (1) CN1938833B (zh)
TW (1) TWI275146B (zh)
WO (1) WO2005098925A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101315947B (zh) * 2007-06-01 2011-06-22 Nec液晶技术株式会社 氧化硅膜、其制备方法以及具有使用其的栅极绝缘膜的半导体器件
CN102446817A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
CN105448705A (zh) * 2014-06-18 2016-03-30 无锡华润上华科技有限公司 一种消除晶圆氧化膜上微粒的方法及其氧化膜
CN105448655A (zh) * 2014-09-02 2016-03-30 中芯国际集成电路制造(上海)有限公司 多孔低介电薄膜、其制作方法及包括其的层间介质层
CN107039267A (zh) * 2015-12-21 2017-08-11 Spts科技有限公司 改善粘附性的方法
CN107492517A (zh) * 2016-06-12 2017-12-19 中芯国际集成电路制造(上海)有限公司 互连结构及形成方法
CN114729452A (zh) * 2019-09-25 2022-07-08 Beneq有限公司 用于处理半导体基材的表面的方法和设备

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US20060220251A1 (en) * 2005-03-31 2006-10-05 Grant Kloster Reducing internal film stress in dielectric film
KR100724629B1 (ko) * 2005-12-12 2007-06-04 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7892972B2 (en) * 2006-02-03 2011-02-22 Micron Technology, Inc. Methods for fabricating and filling conductive vias and conductive vias so formed
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
KR20090108721A (ko) * 2007-01-29 2009-10-16 어플라이드 머티어리얼스, 인코포레이티드 신규한 공기 갭 통합 방법
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US20110204382A1 (en) * 2008-05-08 2011-08-25 Base Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US8993131B2 (en) * 2008-07-16 2015-03-31 Wisconsin Alumni Research Foundation Metal substrates including metal oxide nanoporous thin films and methods of making the same
JP2011077442A (ja) * 2009-10-01 2011-04-14 Tokyo Electron Ltd プラズマ処理方法およびプラズマ処理装置
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
JP5654794B2 (ja) * 2010-07-15 2015-01-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
GB201105953D0 (en) * 2011-04-07 2011-05-18 Metryx Ltd Measurement apparatus and method
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
CN103871961B (zh) * 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
WO2014143337A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
KR101454550B1 (ko) * 2013-06-28 2014-10-27 엘지전자 주식회사 리니어 압축기
US20190157213A1 (en) 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
EP0370729A1 (en) 1988-11-25 1990-05-30 Mitsui Petrochemical Industries, Ltd. Process for producing isopropylnaphthols
US5468595A (en) 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
KR100238252B1 (ko) * 1996-09-13 2000-01-15 윤종용 Sog층 큐어링방법 및 이를 이용한 반도체장치의 절연막제조방법
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US5972111A (en) 1997-06-19 1999-10-26 Anderson; Dean Robert Gary Metering device for paint for digital printing
US6051881A (en) * 1997-12-05 2000-04-18 Advanced Micro Devices Forming local interconnects in integrated circuits
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6218090B1 (en) 1999-03-17 2001-04-17 Electron Vision Corporation Method of creating controlled discontinuity between photoresist and substrate for improving metal lift off
US6150070A (en) 1999-03-17 2000-11-21 Alliedsignal Inc. Method of creating optimal profile in single layer photoresist
US6255035B1 (en) 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
US6195246B1 (en) 1999-03-30 2001-02-27 Electron Vision Corporation Electrostatic chuck having replaceable dielectric cover
EP1169491B1 (en) * 1999-04-14 2005-09-21 AlliedSignal Inc. Low dielectric nano-porous material obtainable from polymer decomposition
US6319655B1 (en) 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6340556B1 (en) 1999-08-04 2002-01-22 Electron Vision Corporation Tailoring of linewidth through electron beam post exposure
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6407399B1 (en) 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6426127B1 (en) 1999-12-28 2002-07-30 Electron Vision Corporation Electron beam modification of perhydrosilazane spin-on glass
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6303525B1 (en) * 2000-08-18 2001-10-16 Philips Electronics No. America Corp. Method and structure for adhering MSQ material to liner oxide
JP3530165B2 (ja) * 2000-10-20 2004-05-24 株式会社東芝 半導体装置の製造方法
US6583047B2 (en) 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US20030033227A1 (en) * 2001-08-10 2003-02-13 Heiser Kenneth Gabriel Multi-level software for generating wills and trusts online
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20040101632A1 (en) 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
JP2004095865A (ja) * 2002-08-30 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
JP2005217142A (ja) * 2004-01-29 2005-08-11 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101315947B (zh) * 2007-06-01 2011-06-22 Nec液晶技术株式会社 氧化硅膜、其制备方法以及具有使用其的栅极绝缘膜的半导体器件
CN102446817A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
CN102446817B (zh) * 2010-10-14 2013-11-06 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
CN105448705A (zh) * 2014-06-18 2016-03-30 无锡华润上华科技有限公司 一种消除晶圆氧化膜上微粒的方法及其氧化膜
CN105448705B (zh) * 2014-06-18 2018-05-04 无锡华润上华科技有限公司 一种消除晶圆氧化膜上微粒的方法及其氧化膜
CN105448655A (zh) * 2014-09-02 2016-03-30 中芯国际集成电路制造(上海)有限公司 多孔低介电薄膜、其制作方法及包括其的层间介质层
CN105448655B (zh) * 2014-09-02 2019-01-08 中芯国际集成电路制造(上海)有限公司 多孔低介电薄膜、其制作方法及包括其的层间介质层
CN107039267A (zh) * 2015-12-21 2017-08-11 Spts科技有限公司 改善粘附性的方法
CN107492517A (zh) * 2016-06-12 2017-12-19 中芯国际集成电路制造(上海)有限公司 互连结构及形成方法
CN107492517B (zh) * 2016-06-12 2020-05-08 中芯国际集成电路制造(上海)有限公司 互连结构及形成方法
CN114729452A (zh) * 2019-09-25 2022-07-08 Beneq有限公司 用于处理半导体基材的表面的方法和设备

Also Published As

Publication number Publication date
TW200614374A (en) 2006-05-01
US20050233591A1 (en) 2005-10-20
KR20070028361A (ko) 2007-03-12
JP4842251B2 (ja) 2011-12-21
JP2007531319A (ja) 2007-11-01
US7547643B2 (en) 2009-06-16
CN1938833B (zh) 2010-12-22
KR101141459B1 (ko) 2013-05-23
TWI275146B (en) 2007-03-01
WO2005098925A1 (en) 2005-10-20

Similar Documents

Publication Publication Date Title
CN1938833B (zh) 促进多孔低k膜与下方阻挡层的粘附的方法及互连结构
US7422776B2 (en) Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7611996B2 (en) Multi-stage curing of low K nano-porous films
JP4918190B2 (ja) 非常に低い誘電率プラズマ強化cvd膜
JP4558206B2 (ja) Cvdナノ多孔性シリカの低誘電率膜
US20080107573A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
TW201623669A (zh) 用於選擇性的超低介電常數封孔之可流動介電質
JP2002176100A (ja) 低k誘電層を処理して拡散を減少させる方法および装置
JP5785152B2 (ja) 化学気相成長法
US7501354B2 (en) Formation of low K material utilizing process having readily cleaned by-products
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101222

Termination date: 20150324

EXPY Termination of patent right or utility model