JP5785152B2 - 化学気相成長法 - Google Patents

化学気相成長法 Download PDF

Info

Publication number
JP5785152B2
JP5785152B2 JP2012502182A JP2012502182A JP5785152B2 JP 5785152 B2 JP5785152 B2 JP 5785152B2 JP 2012502182 A JP2012502182 A JP 2012502182A JP 2012502182 A JP2012502182 A JP 2012502182A JP 5785152 B2 JP5785152 B2 JP 5785152B2
Authority
JP
Japan
Prior art keywords
cyclic
branched
polyunsaturated
saturated
independent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012502182A
Other languages
English (en)
Other versions
JP2012522378A (ja
Inventor
エム リー,エリック
エム リー,エリック
ニコラス ヴァーティス,レイモンド
ニコラス ヴァーティス,レイモンド
レオナルド オニール,マーク
レオナルド オニール,マーク
ティモシー ハーレイ,パトリック
ティモシー ハーレイ,パトリック
ファゲ,ジャック
松本 高志
高志 松本
長之 秋山
長之 秋山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2012522378A publication Critical patent/JP2012522378A/ja
Application granted granted Critical
Publication of JP5785152B2 publication Critical patent/JP5785152B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、基板上に薄膜を堆積する化学気相成長法に関し、より具体的には、基板上にSi含有材料、有機材料、組成傾斜したオルガノシリコン含有材料、又はこれらの混合物を堆積する化学気相成長法に関する。
半導体分野の当業者には知られているように、相互接続遅延は、駆動中の集積回路(IC)の速度及び性能を向上させる上で主要な制限要因である。相互接続遅延を最小限にする1つの方法は、ICデバイス中の金属ワイヤの絶縁体として低誘電率(low-k)材料を用いることによって相互接続キャパシタンスを減少させることである。よって近年、low-k材料は、たとえば二酸化シリコンのような比較的誘電率の高い誘電材料に代わるものとして開発されてきた。特に、low-k膜は、半導体素子の層間絶縁膜及び層内絶縁膜として利用されている。
それに加えて絶縁材料の誘電率を減少させるため、孔を有する材料膜すなわち有孔性low-k誘電膜が作製される。係るlow-k膜は、フォトレジストの塗布法に似ているスピンオン誘電体(SOD)法によって、又は化学気相成長法(CVD)によって成膜されて良い。よってlow-k材料はすぐにでも既存の半導体製造プロセスに適合させることができる。しかしlow-k膜、及びより具体的には有孔性low-k膜は、集積問題−不十分な熱的及び機械的性能、銅のマイグレーション、パターンエッチング中での損傷等を含む−に悩まされている。
さらに絶縁材料の誘電率を減少させるための他の試みでは、エアギャップ構造が検討されている。一の方法によると、基板上に犠牲層を堆積し、続いて前記犠牲層全体にわたって架橋材料を堆積することによってエアギャップ構造が形成される。その後、メタライゼーション及び平坦化に続くデバイス製造プロセスにおけるある時点で、前記犠牲層は分解及び除去されることで、前記犠牲層が存在しないところにギャップすなわち空孔が残される。
一例として、図1A〜図1Eは、エアギャップ構造5を準備する処理を表している。図1Aに図示されているように、その処理は、基板(図示されていない)上に層間絶縁(ILD)膜10を形成する工程を有する。その後、犠牲層20がILD膜10上に形成され、かつキャップ層30が犠牲層20上に形成される。図1Bでは、たとえば一連のリソグラフィ及びエッチングプロセス処理を用いることによって、パターン40が、犠牲層20及びキャップ層30に転写される。パターン40は、ILD膜10上に形成される金属ラインパターンに対応して良い。
図1Cでは、パターン40がメタライズされることで、金属相互接続50が形成される。金属相互接続50は、金属ライン52、並びに、金属ライン52と犠牲層20及びキャップ層30との間に設けられたバリア層54を有して良い。たとえばバリア層54は、金属ライン52から犠牲層20及びキャップ層30への金属のマイグレーションを減少させることができる。パターン40のメタライゼーションは、一連の堆積処理及び平坦化又は研磨処理を有して良い。
図1Dでは、犠牲層20は、エアギャップ22を残すため、分解去れ、かつエアギャップ構造5から除去される。その後、図1Eに図示されているように、金属ラインキャップ層12が形成され、かつ第2ILD膜14が金属ラインキャップ層12上に形成されて良い。従来、犠牲層20は、化学処理又は熱処理を用いることによって除去されてきた。よって犠牲層20は、テンプレートすなわち「空孔前駆体」の役割を果たす。空孔は、犠牲材料の分解の際、多層集合体から生じた分解生成物の熱処理及び熱拡散によって形成される。熱分解可能なポリマーは、犠牲材料として利用するための好適な選択である。
エアギャップ構造を内蔵する従来の金属相互接続又は最先端の金属相互接続で利用するために、有孔性若しくは無孔性low-k膜又は超low-k膜を形成する一般的な手法は、気相成長法を有する。気相成長法は、化学気相成長(CVD)法及びプラズマCVD(PECVD)法を有して良い。たとえばCVD法では、膜前駆体蒸気の連続流が、基板を含む処理チャンバへ導入される。前記膜前駆体の組成は、基板上に形成される膜中に見いだされる主要な原子又は分子種を有する。この連続処理中、前駆体蒸気は基板表面上に化学吸着される。その一方で、前記前駆体蒸気は、化学吸着材料の減少を補助する別の気体成分の存在の有無にかかわらず熱分解及び反応を起こすことで、所望の膜を残す。CVD法を用いるとき、前駆体蒸気を熱分解するのに必要な基板温度は非常に高く、一般的には400℃を超える。この温度はとりわけ、基板の熱収支に加えられる。
それに加えてたとえばPECVD法では、膜の堆積機構を変更又は改善するのに利用されるプラズマをさらに有する。たとえばプラズマ励起は、膜生成反応を、熱励起CVDによって同様の膜を生成するのに必要な温度よりも低い温度で進行させることを可能にする。それに加えて、プラズマ励起は、熱CVDにおいてエネルギー的又は動力学的に好ましくない膜生成化学反応を活性化させることができる。しかしPECVDを用いるときには、基板温度は依然として高く、かつ基板の熱収支に対する高温の寄与は過剰となる恐れがある。さらにプラズマを用いることで、イオン衝突に起因する物理的損傷及び/又は電気的損傷を含むプラズマ誘起損傷が引き起こされる恐れがある。しかもプラズマを用いることで、前駆体蒸気の制御されない解離が引き起こされる。このような解離は、とりわけ膜のモフォロジー不十分なものにしてしまう。
米国特許出願第10/168544号明細書
本発明は、基板上に薄膜を堆積する化学気相成長法に関する。それに加えて本発明は、基板上にSi含有材料を堆積する化学気相成長法に関する。またさらに本発明は、基板上に有機材料を堆積する化学気相成長法に関する。さらに本発明は、基板上に組成傾斜オルガノシリコン含有材料を堆積する化学気相成長法に関する。
一の実施例によると、表面上に薄膜を堆積する化学気相成長(CVD)法が記載されている。当該CVD法は、処理チャンバ内において基板ホルダ上に基板を設ける工程、及び、前記処理チャンバへ処理気体を導入する工程を有する。前記処理気体は、Si含有化学前駆体及び有機前駆体からなる群から選ばれた1種類以上の化学前駆体を有する。前記処理気体は、前記基板ホルダから分離した非電離熱源に曝露されることで、前記1種類以上の化学前駆体の分解が起こる。前記基板は、前記1種類以上の化学前駆体の分解物に曝露される。薄膜が前記基板上に堆積される。前記薄膜は、Si含有材料、有機材料、組成傾斜オルガノシリコン含有材料、又はこれら2種類以上の混合物からなる群から選ばれた材料を含む。
A-Eは、エアギャップ構造を準備する方法を表している。 本発明の実施例による基板上に薄膜を堆積する方法のフローチャートである。 本発明の実施例による基板上に薄膜を堆積する方法を表している。 本発明の実施例による化学気相成長法の概略的断面図である。 本発明の実施例による気体分配システムの概略的断面図を与えている。 A及びBは、Si含有膜の堆積に関する典型的なデータを与えている。 Si含有材料の堆積に関する典型的なデータを与えている。 Si含有材料の堆積に関する典型的なデータを与えている。
本発明の実施例によると、基板表面上に薄膜−たとえばSi含有材料、有機材料、Si含有材料と有機物含有材料の混合(つまり組成傾斜オルガノシリコン含有)材料、又はこれら2種類以上の混合物−を堆積する方法が記載されている。たとえばSi含有材料はとりわけ、金属相互接続における層間誘電膜として、又は、図1A-1Eに図示されたように金属相互接続で用いられるエアギャップ構造と接続するキャップ層として利用されて良い。Si含有材料の他の用途は、ハードマスク層、エッチストップ層、化学機械平坦化(CMP)停止層、反射防止コーティング(ARC)層、誘電バリア層等を有する。それに加えてたとえば、有機材料はとりわけ、図1A-1Eに図示されたように金属相互接続で用いられるエアギャップ構造における犠牲層として利用されて良い。さらにたとえば、組成傾斜オルガノシリコン含有材料はとりわけ、図1A-1Eに図示されたように金属相互接続で用いられるエアギャップ構造における、犠牲層(たとえば有機層)とキャップ層(たとえばシリコン含有層)との間の遷移層として利用されて良い。遷移層は、隣接する有機層とSi含有層との間での接合を改善することができる。
図2及び図3は、フィラメント支援化学気相成長法を用いて基板上に薄膜−たとえばSi含有材料、有機材料、組成傾斜オルガノシリコン含有材料、又はこれら2種類以上の混合物−を堆積する方法を与えている。フィラメント支援化学気相成長法はとりわけ、熱収支を改善し(たとえばCVD及びPECVD処理よりも低い基板温度)、プラズマ誘起損傷の低減し(たとえばPECVDとは違ってプラズマを用いない)、及び膜のモフォロジーを改善(たとえばPECVDにおけるプラズマ誘起解離とは異なり、熱分解によって大きな分子断片)する処理条件を有する。
図2を参照すると、当該方法は、処理チャンバ内で基板ホルダ上に基板を設ける工程110で開始されるフローチャート100を有する。基板は、薄膜−たとえばSi含有材料、有機材料、又は組成傾斜オルガノシリコン含有材料−が堆積される表面を有する。シリコン含有材料は、シリコン含有誘電材料−たとえば有孔性若しくは無孔性低誘電率(low-k)誘電材料又は超low-k誘電材料−を有して良い。たとえばシリコン含有材料はSiCOH含有材料を有して良い。有機材料は分解可能な有機材料を有して良い。
120では、処理気体が化学気相成長システムに導入される。前記処理気体は、シリコン(Si)含有材料を堆積するためのSi含有化学前駆体、有機材料を堆積するための有機化学前駆体、又は、組成傾斜オルガノシリコン含有材料を堆積するためのSi含有化学前駆体と有機化学前駆体を有する。Si含有化学前駆体は、基板上にSi含有材料を堆積するのに適した1種類以上の化合物を有して良い。有機化学前駆体は、基板上に有機材料を堆積するのに適した1種類以上の化合物を有して良い。
Si含有化学前駆体はSiを含有する構造形成分子を処理する化合物を有して良い。前記Siを含有する構造形成分子は、該Siを含有する構造形成分子と弱く結合する孔生成分子側鎖基(たとえば付着したポロゲン)を有する。あるいはその代わりに、Si含有化学前駆体は、Siを含有する構造形成分子を処理する第1化合物、及び孔生成分子(たとえば未付着ポロゲン)を処理する第2化合物を有して良い。前記孔生成分子と前記Siを含有する構造形成分子との間には結合が存在しない。いずれの実施例でも、結合であっても又は未結合であっても、孔生成分子は有機材料を有して良い。
以下は、本発明による個々のポロゲンとの併用に適したSi含有化学前駆体の非限定的例である。以降の化学式及び本明細書全体を通じた化学式において、「独立した」という語は、対象のR基が、異なる添字を有する他のR基に対して独立に選ばれるということのみならず、同一のR基の別な種に対しても独立に選ばれることを意味すると解される。たとえば、式R1 n(OR2)4-nSiにおいて、nが2又は3であるとき、2つ又は3つのR1基は、互いに同一である必要もなければ、R2と同一である必要もない。
− R1 n(OR2)4-nSi。R1は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3である。
例:ジエトシキメチルシラン、ジメチルジメトキシシラン
− R1 n(OR2)3-nSi-O-SiR3 m(OR4)3-m。R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2とR4は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3で、かつm=1〜3である。
例:1,3-ジメチル-1,3-ジエトキシジシロキサン
− R1 n(OR2)3-nSi-SiR3 m(OR4)3-m。R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2とR4は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3で、かつm=1〜3である。
例:1,2-ジメチル-1,1,2,2-テトラエトキシジシラン
− R1 n(O(O)CR2)4-nSi。R1は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3である。
例:ジメチルジアセトキシシラン
− R1 n(O(O)CR2)3-nSi-O-SiR3 m(O(O)CR4)3-m。R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2とR4は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3で、かつm=1〜3である。
例:1,3-ジメチル-1,3-ジアセトキシジシロキサン
− R1 n(O(O)CR2)3-nSi-SiR3 m(O(O)CR4)3-m。R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2とR4は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3で、かつm=1〜3である。
例:1,2-ジメチル-1,1,2,2-テトラアセトキシジシラン
− R1 n(O(O)CR2)3-nSi-O-SiR3 m(OR4)3-m。R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。R4は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3で、かつm=1〜3である。
例:1,3-ジメチル-1-アセトキシ-3-エトキシジシロキサン
− R1 n(O(O)CR2)3-nSi-SiR3 m(OR4)3-m。R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。R4は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3で、かつm=1〜3である。
例:1,2-ジメチル-1-アセトシキ-2-エトキシジシラン
− R1 n(OR2)p(O(O)CR4)4-(n+p)Si。R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。R4は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3で、かつp=1〜3である。
例:メチルアセトキシ-t-ブトキシシラン
− R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q。R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2とR6は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3で、m=1〜3で、p=1〜3で、かつq=1〜3である。
例:1,3-ジメチル-1,3-ジアセトキシ-1,3-ジエトキシジシロキサン
− R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q。R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。R2とR6は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良い。n=1〜3で、m=1〜3で、p=1〜3で、かつq=1〜3である。
例:1,2-ジメチル-1,2-ジアセトキシ-1,2-ジエトキシジシラン
− (OSiR1R2)xで表される環状シロキサン。R1とR2は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。xは2〜8の範囲の任意の整数であって良い。
例:1,3,5,7-テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン
上記全ての前駆体基に対する条件は、1)ポロゲンは反応混合物に加えられる、及び2)硬化(たとえばアニール)工程は、2.6未満の誘電率kを得るため、堆積された材料から含まれる実質的にすべてのポロゲンを除去するのに用いられることである。
上述の前駆体は、ポロゲンと混合され、又はポロゲンを引きつけ、かつ、これらのクラスの他の分子及び/若しくは同一クラスの分子と混合しても良い。ただしn及び/又はmが0〜3のときは除く。
例:TEOS、トリエトキシシラン、ジ-テルチアリブトキシシラン、シラン、ジシラン、ジ-テルチアリブトキシジアセトキシシラン等
以下は、本発明による個々のポロゲンとの併用に適したあるSi含有化学前駆体を表す別の化学式である。
(a) 式R1 n(OR2)p(O(O)CR3)4-(n+p)Si。R1は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素である。R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。R3は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。n=1〜3で、かつp=0〜3である。
(b) 式R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q。R1とR3は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素である。R2とR6は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。n=0〜3で、m=0〜3で、p=0〜3で、かつq=0〜3である。ただしn+m≧1、n+p≦3、及びm+q≦3である。
(c) 式R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q。R1とR3は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素である。R2とR6は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。n=0〜3で、m=0〜3で、p=0〜3で、かつq=0〜3である。ただしn+m≧1、n+p≦3、及びm+q≦3である。
(d) 式R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q。R1とR3は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素である。R2とR6とR7は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。n=0〜3で、m=0〜3で、p=0〜3で、かつq=0〜3である。ただしn+m≧1、n+p≦3、及びm+q≦3である。
(e) 式(R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t。R1は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素である。R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。R3は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。n=1〜3で、p=0〜3で、かつt=2〜4である。ただしn+p≦4である。
(f) 式(R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t。R1は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素である。R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。R3は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素である。n=1〜3で、p=0〜3で、かつt=1〜3である。ただしn+p≦4である。
(g) 式(OSiR1R2)xの環状シロキサン。R1とR2は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。xは2〜8の範囲の任意の整数であって良い。
(h) 式(NR1SiR1R2)xの環状シラザン。R1とR2は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。xは2〜8の範囲の任意の整数であって良い。
(i) 式[(CR1R2)(SiR1R2)]xの環状カルボシラン。R1とR2は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良い。xは2〜8の範囲の任意の整数であって良い。
本明細書を通じて、前駆体及びポロゲン化された前駆体としてシロキサン及びジシロキサンが参照されているが、本発明はそれに限定されず、かつ他のシロキサン−たとえばトリシロキサン−及びさらに長い他の線形シロキサンもまた本発明の技術的範囲に含まれることに留意して欲しい。
上述の前駆体は、これらのクラスの他の分子及び/若しくは同一クラスの分子と混合しても良い。ただしn及び/又はmが0〜3のときは除く。
以下は、本発明の複数の実施例により、Si含有材料を生成するときのポロゲンとして、又は有機材料を生成するときの有機前駆体として用いられるのに適した材料の非限定的な例である。
1) 一般式CnH2nの環状炭化水素。n=4〜14で、環状構造中の炭素数は4〜10で、かつ、環状構造上で置換された複数の単純又は分岐状の炭化水素が存在して良い。
例:シクロヘキサン、トリメチルシクロヘキサン、1-メチル-4(1-メチルエチル)シクロヘキサン、シクロオクタン、メチルシクロオクタン等
2) 一般式CnH(2n+2)-2yの線形若しくは分岐状で、飽和し、単価若しくは多価不飽和炭化水素。n=2〜20で、y=0〜nである。
例:エチレン、プロピレン、アセチレン、ネオヘキサン等
3) 一般式CnH2n-2xの単価又は多価不飽和環状炭化水素。Xは分子中の不飽和サイト数で、n=4〜14で、環状構造中の炭素数は4〜10で、かつ環状構造上で置換された複数の単純又は分岐状の炭化水素が存在して良い。不飽和は、環内部又は環状構造への炭化水素置換物のうちの1つに存在して良い。
例:シクロヘキサン、ビニルシクロヘキサン、ジメチルシクロヘキサン、t-ブチルシクロヘキサン、α-テルピネン、ピネン、1,5-ジメチル-1,5-シクロオクタジエン、ビニル-シクロヘキサン、パラシメン、ジエチルベンゼン等
4) 一般式CnH2n-2の二環式炭化水素。n=4〜14で、二環式構造中の炭素数は4〜12で、かつ、環状構造上で置換された複数の単純又は分岐状の炭化水素が存在して良い。
例:ノルボルナン、スピロノナン、デカヒドロナフタレン等
5) 一般式CnH2n-(2+2x)の多価不飽和二環式炭化水素。Xは分子中の不飽和サイト数で、n=4〜14で、二環式構造中での炭素数は4〜12で、かつ環状構造中上で置換された複数の単純又は分岐状の炭化水素が存在して良い。不飽和は、環内部又は環状構造への炭化水素置換物のうちの1つに存在して良い。
例:カンフェン、ノルボルネン、ノルボルナジエン等
6) 一般式CnH2n-4の三環式炭化水素。n=4〜14で、三環式構造中の炭素数は4〜12で、かつ環状構造中上で置換された複数の単純又は分岐状の炭化水素が存在して良い。
例:アダマンタン
処理気体は、不活性ガス−たとえば希ガス−をさらに有して良い。処理気体は酸化剤を含まなくて良い。あるいはその代わりに処理気体は酸化剤を含んでも良い。さらに処理気体は開始剤を含まなくて良い。あるいはその代わりに処理気体は開始剤を含んでも良い。またさらに処理気体は、酸化剤と開始剤の両方を有しても良い。開始剤は、連鎖重合を引き起こす材料であって良い。連鎖重合においては、開始剤は、モノマーを侵襲する活性種を生成する。活性種は、フリーラジカル、アニオン、又はカチオンであって良い。たとえば開始剤は過酸化物を有して良い。それに加えてたとえば、開始剤は、有機過酸化物−たとえばジターブチル過酸化物、ジターアミル過酸化物、又はターブチル過酸化ベンゾネート−、アゾ化合物−たとえば2,2’-アゾビスイソブチロニトリル−、又は他のモノマー−たとえばペルフルオロオクタンスルホニルフルオライド−を有して良い。
130では、当該方法は、基板ホルダから分離した非電離熱源に処理気体を曝露する工程を有する。非電離熱源は、化学前駆体中の1種類以上の種を熱分解する(つまり気相分子フラグメントを生成する)ように構成されて良い。たとえば非電離熱源は、化学前駆体中の1種類以上の種を熱分解するのに十分な熱源温度にまで昇温されて良い。たとえば図3に図示されているように、化学前駆体(P)は、加熱素子250−たとえば基板ホルダ220上に存在する基板225の表面付近又は上方に取り付けられた抵抗加熱導電性フィラメント−全体にわたって又は付近を流れる。加熱素子250は、化学前駆体(P)が分解して分子フラグメント(X*及びY*)となる熱源温度にまで昇温される。分子フラグメントは、表面反応が起こりうる基板上に吸着することが可能である。たとえば加熱素子250は、約500℃〜約1500℃の範囲の熱源温度にまで昇温されて良い。それに加えてたとえば加熱素子250は、約500℃〜約1300℃の範囲の熱源温度にまで昇温されて良い。
その後当該方法は、基板225上での気相分子フラグメントの堆積及び膜形成を誘起するのに十分な基板温度に、基板225を維持する工程を有して良い。基板ホルダ220は、基板225を、約20℃以上の基板温度に維持するように構成されて良い。用途に依存して、基板温度は上限を有して良い。たとえば基板温度の上限は、基板225上に予め存在する他の層の熱分解温度よりも低い温度に選ばれて良い。
たとえばSi含有材料を堆積するとき、基板ホルダ220は、基板を約20℃〜約350℃の基板温度に維持するように構成されて良い。さらに基板ホルダ220は、基板を約80℃〜約350℃の基板温度に維持するように構成されて良い。またさらに基板ホルダ220は、基板を約150℃〜約230℃の基板温度に維持するように構成されて良い。
たとえば有機材料を堆積するとき、基板ホルダ220は、基板を約20℃〜約300℃の基板温度に維持するように構成されて良い。それに加えて基板ホルダ220は、基板を約60℃〜約270℃の基板温度に維持するように構成されて良い。またさらに基板ホルダ220は、基板を約80℃〜約200℃の基板温度に維持するように構成されて良い。さらに基板ホルダ220は、基板を約110℃〜約180℃の基板温度に維持するように構成されて良い。
140では、薄膜−たとえばSi含有材料、有機材料、又は組成傾斜オルガノシリコン含有材料−が基板225上に堆積される。Si含有材料は、堆積した状態で約3未満の誘電率を有して良い。
組成傾斜オルガノシリコン含有材料を準備するとき、処理気体は、Si含有化学前駆体及び有機化学前駆体を有する。組成傾斜オルガノシリコン含有材料の堆積中、有機化学前駆体の量に対するSi含有化学前駆体の量は、組成傾斜オルガノシリコン含有材料の厚さ全体にわたるSi含有材料と有機材料の相対濃度の空間的に変化するように調節される。その調節は、濃度が階段状に変化するように行われても良いし、かつ/又は、徐々に(相対量が上下に傾斜して)変化するように行われても良い。
上述のように、当該方法は、化学気相成長システム内に加熱素子を設ける工程を有して良い。処理気体−化学前駆体を含む−は、加熱素子250全体にわたって又はそばを流れる。たとえば化学前駆体が加熱素子250全体にわたって又はそばを流れるときに、化学前駆体が2つ以上の分子フラグメントに分解するように、加熱素子250の温度は昇温される。化学前駆体のフラグメントは、表面反応が起こりうるところに吸着することができる。
加熱素子は、タングステン含有材料、モリブデン含有材料、レニウム含有材料、ロジウム含有材料、プラチナ含有材料、クロム含有材料、イリジウム含有材料、若しくはニッケル含有材料、又はこれら2種類以上の混合材料からなるフィラメントを有して良い。加熱素子の温度範囲は、加熱素子の材料特性に依存する。たとえば加熱素子の温度は、約500℃〜約1500℃の範囲であって良い。それに加えてたとえば、加熱素子の温度は約500℃〜約1300℃の範囲であって良い。
薄膜の堆積中及び/又は後、その薄膜は処理されて良い。薄膜はたとえば、機械的特性(たとえばヤング率、硬度等)を改善するように硬化されて良い。それに加えて薄膜はたとえば、Si含有材料から孔生成材料を部分的又は全体的に除去するように処理されて良い。さらに薄膜はたとえば、有機材料を分解し、かつその有機材料を除去するように処理されて良い。たとえばその処理は、堆積処理中及び/又はその後、その場で(堆積処理用の同一チャンバ内で)実行されて良い。それに加えてたとえば、その処理は、堆積処理後に別な場所で(堆積処理用のチャンバ外で)行われて良い。
薄膜の堆積中及び/又はその後、その薄膜はエネルギー源に曝露されて良い。エネルギー源は、コヒーレントな電磁放射線源−たとえばレーザー−及び/又は非コヒーレントな電磁放射線源−たとえばランプ−を有して良い。それに加えてエネルギー源は、光子源、電子源、プラズマ源、マイクロ波放射線源、紫外(UV)放射線源、赤外(IR)放射線源、可視放射線源、熱エネルギー源、又はこれら2つ以上を組み合わせたものを有して良い。
一例として、約2.7以下の誘電率を有するSi含有材料を生成する処理によって、Si含有材料は処理されて良い。別例として、体積にして少なくとも5%の有孔率を有する薄いSi含有材料を生成する処理によって、Si含有材料は処理されて良い。さらに別例として、約90%以上の有機材料を除去する処理によって有機材料は処理されて良い。
本発明の実施例によると、図4は、薄膜−たとえばSi含有材料、有機材料、又は組成傾斜オルガノシリコン含有材料−を堆積する化学気相成長システム400を概略的に図示している。たとえば薄膜は、金属相互接続における層間誘電膜として、又は金属相互接続に使用するエアギャップと接続するキャップ層として利用されて良い。それに加えてたとえば薄膜は、エアギャップ構造と接続する犠牲層として利用されて良い。さらにたとえば薄膜は、エアギャップ構造と接続するキャップ層と犠牲層との間の遷移層として利用されても良い。化学気相成長システム400は化学気相成長(CVD)システムを有して良い。CVDシステムによって、Si含有化学前駆体及び/又は有機化学前駆体を有する膜形成組成物は、基板上に薄膜を形成するため、熱的に活性化され、又は分解される。
化学気相成長システム400は基板ホルダ420を有する処理チャンバ410を有する。基板ホルダ420は、上に薄膜が堆積又は形成される基板425を支持するように備えられている。さらに基板ホルダ420は、薄形成反応に適した温度に基板温度を制御するように構成されている。
処理チャンバ410は、気体分配システム440を介して膜形成組成物又は処理気体を処理チャンバ410へ導入するように構成された膜形成組成物供給システム430と結合する。さらに気体加熱装置445は、気体分配システム440と結合し、かつ膜形成組成物又は処理気体を化学的に調節するように構成されて良い。気体加熱装置445は、処理気体中の1種類以上の成分と相互作用するように構成された1つ以上の加熱素子455、及び、該1つ以上の加熱素子455と結合して加熱素子455に電力を供給するように構成された電源450を有する。たとえば1つ以上の加熱素子455は1つ以上の抵抗加熱素子を有して良い。電流が、1つ以上の抵抗加熱素子を流れて、その1つ以上の抵抗加熱素子の加熱に影響を及ぼすとき、これらの加熱された素子と処理気体中の1種類以上の成分との相互作用は、処理気体の1種類以上の成分の熱分解を引き起こす。
処理チャンバ410はさらに、ダクト462を介して、真空排気システム460と結合する。真空排気システム460は、処理チャンバ410及び気体分配システム440を、基板425上での薄膜作製及び処理気体の熱分解に適した圧力にまで排気するように構成されている。処理チャンバ内410内の圧力は最大約500Torrであって良い。あるいはその代わりに処理チャンバ410での圧力は最大約100Torrであって良い。あるいはその代わりに処理チャンバ410での圧力は0.5Torr〜約40Torrの範囲であって良い。
膜形成組成物供給システム430は、処理気体を気体分配システム440へ導入するように構成された1つ以上の材料源を有して良い。たとえば処理気体は、1種類以上の気体、1種類以上の気体中に生成された1種類以上の蒸気、又は上記2種類以上の混合物を有して良い。膜形成組成物供給システム430は、1つ以上の気体源及び/又は1つ以上の気化源を有して良い。本明細書において気化とは、非気体状態から気体状態への材料(通常は気体状態ではない状態で貯蔵されている)の変換を意味する。従って「気化」、「昇華」、及び「蒸発」は、その変換がたとえば、固体から液体そして気体、固体から気体、又は液体から気体であるか否かに関わらず、総称的に固体又は液体前駆体からの蒸気(気体)が生成されることを表すため、同義的に用いられる。
処理気体が気体分配システム440へ導入されるとき、処理気体の1種類以上の成分は、上述の気体加熱装置445によって熱分解される。処理気体は、気体分配システム440内での熱分解によって分解しうる(複数の)化学前駆体を有して良い。(複数の)化学前駆体は、基板上で生成されることが期待される主な原子又は分子種を有して良い。たとえば(複数の)化学前駆体は、堆積される膜に必要な各元素を有して良い。
一の実施例によると、膜形成組成物供給システム430は、化学前駆体を気体分配システム440へ導入するように構成された第1材料源432、及び、任意の不活性ガス、キャリアガス、又は希釈ガスを導入するように構成された第2材料源434を有して良い。たとえば不活性ガス、キャリアガス、又は希釈ガスは、希ガス−つまりHe、Ne、Ar、Kr、Xe、又はRn−を有して良い。
1つ以上の加熱素子455は1つ以上の抵抗加熱素子を有して良い。それに加えてたとえば、1つ以上の加熱素子455は金属含有リボン又はフィラメントを有して良い。さらにたとえば、1つ以上の加熱素子455は、抵抗性金属、抵抗性合金、抵抗性金属窒化物、又はこれら2つ以上の混合物で構成されて良い。1つ以上の加熱素子455は、タングステン含有材料、タンタル含有材料、モリブデン含有材料、レニウム含有材料、ロジウム含有材料、プラチナ含有材料、クロム含有材料、イリジウム含有材料、若しくはニッケル含有材料、又はこれら2種類以上の混合材料からなるフィラメントを有して良い。
電源450が、電力と1つ以上の加熱素子455とを結合するとき、1つ以上の加熱素子455は、処理気体の1種類以上の成分を熱分解するのに十分な温度にまで昇温されて良い。電源450は、直流(DC)電源を有しても良いし、又は交流(AC)電源を有しても良い。電源450は、1つ以上の加熱素子455と直接的な電気的接続を介して1つ以上の加熱素子455と電力とを結合するように構成されて良い。あるいはその代わりに電源450は、誘導を介して1つ以上の加熱素子455と電力とを結合するように構成されても良い。さらにたとえば、電源450は、出力の振幅を変調し、又は出力をパルスにするように構成されて良い。さらにたとえば電源450は、電力、電圧、若しくは電流の設定、監視、調節、又は制御のうちの少なくとも1つを実行するように構成されて良い。
さらに図4を参照すると、温度制御システム422は、気体分配システム440、気体加熱装置445、処理チャンバ410、及び/又は基板ホルダ420と結合して、これらの構成要素のうちの1つ以上の温度を制御するように構成されて良い。温度制御システム422は、1つ以上の位置での気体分配システム440の温度、1つ以上の位置での気体加熱装置445の温度、1つ以上の位置での処理チャンバ410の温度、及び/又は1つ以上の位置での基板ホルダ420の温度を測定するように構成された温度測定システムを有して良い。温度の測定は、化学気相成長システム400内の1つ以上の位置での温度を調節又は制御するのに用いられて良い。
温度測定システムによって利用される温度測定装置は、光ファイバ温度計、光パイロメータ、特許文献1に記載されたバンド端温度測定システム、又はK型熱電対のような熱電対を有して良い。光温度計の例には、Advanced Energies社から市販されているOR2000F型の光ファイバ温度計、Luxtron社から市販されているM600型の光ファイバ温度計、又は高岡電気から市販されているFT-1420型の光ファイバが含まれる。
あるいはその代わりに、1つ以上の抵抗加熱素子の温度を測定するとき、各抵抗加熱素子の電気的特性が測定されても良い。たとえば各抵抗加熱素子の抵抗を測定するため、1つ以上の抵抗加熱素子と結合する電圧、電流、又は電力のうち2つ以上が監視されて良い。素子の抵抗の変化は、素子の抵抗に影響を及ぼす素子の温度変化に起因して生じる。
温度制御システム422及び/又は制御装置480からのプログラム命令によると、電源450は、最大約1500℃の温度で気体加熱装置445−たとえば1つ以上の加熱素子−を動作させるように構成されて良い。たとえば温度は約500℃〜約1500℃の範囲であって良い。それに加えてたとえば、温度は約500℃〜約1300℃の範囲であって良い。温度は処理気体に基づいて選ばれて良い。より具体的には、温度は、処理気体の成分−たとえば(複数の)化学前駆体−に基づいて選ばれて良い。
それに加えて、温度制御システム422及び/又は制御装置480からのプログラム命令によると、気体分配システム440の温度は、気体加熱装置445−つまり1つ以上の加熱素子−の温度未満の値に設定されて良い。温度は、1つ以上の加熱素子の温度未満でかつ凝集を防止するのに十分程度に高い温度に選ばれて良い。その凝集は、気体分配システム表面上での膜の形成を生じさせるかもしれないし、又は生じさせないかもしれず、かつ残留物の蓄積を減少させるかもしれないし、又は減少させないかもしれない。
それに加えてさらに、温度制御システム422及び/又は制御装置480からのプログラム命令によると、処理チャンバ410の温度は、気体加熱装置445−つまり1つ以上の加熱素子−の温度未満の値に設定されて良い。温度は、1つ以上の加熱素子の温度未満でかつ凝集を防止するのに十分程度に高い温度に選ばれて良い。その凝集は、気体分配システム表面上での膜の形成を生じさせるかもしれないし、又は生じさせないかもしれず、かつ残留物の蓄積を減少させるかもしれないし、又は減少させないかもしれない。
一旦処理気体が処理空間433へ入り込むと、その処理気体の成分は基板表面に吸着し、かつ膜形成反応が進行することで、基板425上に薄膜が形成される。温度制御システム422及び/又は制御装置480からのプログラム命令によると、基板ホルダ420は、基板425の温度を、気体加熱装置445の温度未満に設定するように構成される。
一例として、Si含有材料については、基板温度は約20℃よりも高温であって良い。それに加えてたとえば、基板温度は約20℃〜約350℃の範囲であって良い。それに加えてたとえば、基板温度は約80℃〜約350℃の範囲であって良い。さらにたとえば基板温度は約110℃〜約300℃の範囲であって良い。さらにまた基板温度は約150℃〜約230℃の範囲であって良い。
別例として、有機材料については、基板温度は約20℃よりも高温であって良い。それに加えてたとえば、基板温度は約80℃〜約200℃の範囲であって良い。さらにたとえば、基板温度は約110℃〜約180℃の範囲であって良い。
基板ホルダ420は、温度制御システム422と結合する1つ以上の温度制御素子を有する。温度制御素子422は基板加熱システム及び/又は基板冷却システムを有して良い。たとえば基板ホルダ420は、基板ホルダ420の表面付近に設けられた基板加熱素子又は基板冷却素子(図示されていない)を有して良い。たとえば加熱素子又は冷却素子は再循環流体流を有する。再循環流体流は、冷却時には、基板ホルダ420から加熱を受け取って、熱を熱交換器システムへ輸送し、又は、加熱時には、熱交換器システムから基板ホルダ420へ熱を輸送する。冷却システム又は加熱システムは、基板ホルダ内に設けられた加熱/冷却素子−たとえば抵抗加熱素子−又は熱電ヒーター/クーラーを有して良い。それに加えて加熱素子及び/又は冷却素子は、2つ以上の独立に制御される温度領域内に配置されて良い。基板ホルダ420は、内部領域と外部領域を含む2つの熱領域を有して良い。その領域の温度は、基板ホルダの複数の熱領域を各独立に加熱又は冷却することによって制御されて良い。
それに加えて基板ホルダ420は、基板425を基板ホルダ420の上面に固定する基板固定システム(たとえば電気又は機械固定システム)を有する。たとえば基板ホルダ420は静電チャック(ESC)を有して良い。
さらに基板ホルダ420は、背面気体供給システムによる基板425への伝熱気体の供給を助けることで、基板425と基板ホルダ420との間の気体ギャップ熱伝導を改善することができる。昇温又は降温の際に基板の温度制御が必要なときに、係るシステムは利用されて良い。たとえば背面気体システムは2領域気体分配システムを有して良い。背面気体(たとえばヘリウム)圧力は、基板425の中央部と端部との間で独立に変化することができる。
真空排気システム460は最大5000リットル/秒(以上)の排気能力を有するターボ分子ポンプ(TMP)及びチャンバ圧力を絞るゲートバルブを有して良い。たとえば1000〜3000(以上)リットル/秒バルブのTMPが用いられて良い。TMPは低圧処理−典型的には約1Torr未満−に用いられて良い。高圧(つまり約1Torrよりも高い圧力)処理については、メカニカルブースターポンプ及び/又はドライ粗引きポンプが用いられて良い。さらにチャンバ圧力を監視する装置(図示されていない)が処理チャンバ410と結合して良い。圧力測定装置は絶対キャパシタンスマノメータであって良い。
さらに図4を参照すると、化学気相成長システム400は制御装置480をさらに有して良い。制御装置480は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有する。デジタルI/Oポートは、化学気相成長システム400からの出力を監視するのみならず、化学気相成長システム400への入力をやり取りし、かつ起動させるのに十分な制御電圧を発生させる能力を有する。しかも制御装置480は、背面気体供給システム(図示されていない)及び/又は静電固定システム(図示されていない)だけではなく、処理チャンバ410、基板ホルダ420、温度制御システム422、膜形成組成物供給システム430、気体分配システム440、気体加熱装置445、及び真空排気システム460とも結合して、これらと情報のやり取りを行って良い。薄膜堆積方法を実行するため、メモリに記憶されたプログラムは、化学気相成長システム400の上記構成部品を、記憶されたプロセスレシピに従って制御するのに利用されて良い。
制御装置480は、化学気相成長システム400に対して局所的に設置されても良いし、又はインターネット又はイントラネットを介して化学気相成長システム400に対して離れた場所に設置されても良い。よって制御装置480は、直接接続、イントラネット、インターネット及びワイヤレス接続のうちの少なくとも1を用いることによって化学気相成長システム400とのデータのやり取りをして良い。制御装置480は、たとえば顧客側(つまりデバイスメーカー等)のイントラネットと結合して良いし、又はたとえば売り手側(つまり装置製造者等)のイントラネットと結合しても良い。さらに別なコンピュータ(つまり制御装置、サーバー等)が、たとえば制御装置とアクセスすることで、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータのやり取りをして良い。
化学気相成長システム400は、たとえば処理チャンバ410又は気体分配システム440と結合するその場洗浄システム(図示されていない)を用いることによって周期的に洗浄されて良い。オペレータによって決定される周波数毎に、その場洗浄システムは、化学気相成長システム400の内部表面上の蓄積した残留物を除去するため、化学気相成長システム400の定期洗浄を実行して良い。その場洗浄システムはたとえば、そのような残留物と化学反応して除去することのできる化学ラジカルを導入するように構成されたラジカル生成装置を有して良い。それに加えてたとえばその場洗浄システムは、オゾンの分圧を導入するように構成されたオゾン発生装置を有して良い。たとえばラジカル生成装置は、酸素(O2)、3フッ化窒素(NF3)、O3、XeF2、ClF3、又はC3F8(より一般的にはCxFy)からそれぞれ酸素又はフッ素を生成するように備えられた上流プラズマ源を有して良い。ラジカル生成装置は、MKSインスツルメンツ社から市販されているASTRON反応気体生成装置を有して良い。
ここで図5を参照すると、本発明による気体分配システム500が図示されている。気体分配システム500は、堆積システム堆積システムと結合する又は内部に存在するように構成された筐体540(たとえば図4の化学気相成長システム400の処理チャンバ410)及び筐体540と結合するように構成された気体分配プレート541を有する。筐体540と気体分配プレート541とが結合することでプレナム542が形成される。気体分配システム500は、処理チャンバから断熱されて良い。あるいは気体分配システム500は、処理チャンバから断熱されなくても良い。
気体分配システム500は、プレナム542へ入り込む膜形成組成物又は処理気体を、膜形成組成物供給システム(図示されていない)から受け取り、かつ前記膜形成組成物を処理チャンバ内で分配するように構成される。たとえば気体分配システム500は、プレナム542へ入り込む膜形成組成物532−たとえば化学前駆体−の1種類以上の成分及び任意の不活性ガス534を、膜形成組成物供給システムから受け取るように構成されて良い。膜形成組成物532の1種類以上の成分及び任意の不活性ガス534は、図示されているように別々にプレナム542へ導入されて良いし、又は同一の開口部を介して導入されても良い。
気体分配システム541は、膜形成組成物を、プレナム542から、上に膜が形成される基板(図示されていない)近傍の処理空間533へ導入及び分配するように配備されて良い。たとえば気体分配システム541は、基板の上側表面と対向するように構成された排出口546を有する。さらにたとえば、気体分配プレート541は気体シャワーヘッドを有して良い。
さらに気体分配システム500は、1つ以上の加熱素子552を有する気体加熱装置550を有する。1つ以上の加熱素子552は、電源554と結合して、電源554から電流を受けるように構成されている。1つ以上の加熱素子552は、気体分配システム500の排出口546に設けられる。それにより1つ以上の加熱素子552は、膜形成組成物の任意の成分又は全ての成分と相互作用することができる。
たとえば1つ以上の加熱素子552は1つ以上の抵抗加熱素子を有して良い。それに加えてたとえば1つ以上の加熱素子552は、金属含有リボン又は金属含有ワイヤを有して良い。さらにたとえば1つ以上の加熱素子552は、抵抗性金属、抵抗性合金、抵抗性金属窒化物、又はこれら2つ以上の混合物で構成されて良い。
電源554が、電力と1つ以上の加熱素子552とを結合するとき、1つ以上の加熱素子552は、膜形成組成物の1種類以上の成分を熱分解するのに十分な温度にまで昇温されて良い。電源554は、直流(DC)電源を有しても良いし、又は交流(AC)電源を有しても良い。電源554は、1つ以上の加熱素子455と直接的な電気的接続を介して1つ以上の加熱素子552と電力とを結合するように構成されて良い。あるいはその代わりに電源554は、誘導を介して1つ以上の加熱素子552と電力とを結合するように構成されても良い。
気体分配プレート541内に形成された1つ以上の開口部544は、1つ以上のオリフィス、1つ以上のノズル、若しくは1つ以上のスロット、又はこれらを組み合わせたものを有して良い。1つ以上の開口部544は、直線で構成されるパターンで気体分配プレート541上に分配された複数のオリフィスを有して良い。あるいはその代わりに1つ以上の開口部544は、環状パターンで気体分配プレート541上に分配された複数のオリフィスを有しても良い(たとえばオリフィスは、半径方向及び/又は角度方向に分配される)。1つ以上の加熱素子552が気体分配システム500の排出口546に設けられるとき、各加熱素子の位置設定は、気体分配プレート541の1つ以上の開口部544から排出される膜形成組成物の流れが各加熱素子(のそばを)通過するようになされる。
それに加えて複数の開口部544は、気体分配プレート541上に様々な密度のパターンで分配される。たとえば、より多くの開口部が気体分配プレート541の中心部付近に形成され、かつ気体分配プレート541の周辺部付近にはほとんど開口部が形成されなくて良い。あるいはその代わりにたとえば、より多くの開口部が気体分配プレート541の周辺部付近に形成され、かつ気体分配プレート541の中心部付近にはほとんど開口部が形成されなくて良い。それに加えてさらに、開口部のサイズは気体分配プレート541上で変化して良い。たとえば大きな開口部が気体分配プレート541の中心部付近に形成され、かつ小さな開口部は気体分配プレート541の周辺部付近に形成されて良い。あるいはその代わりに、たとえば、大きな開口部が気体分配プレート541の周辺部付近に形成され、かつ小さな開口部は気体分配プレート541の中心部付近に形成されて良い。
さらに図2を参照すると、気体分配システム500は、筐体540と結合した任意の中間気体分配プレート560を有して良い。それにより筐体540、中間気体分配プレート560、及び気体分配プレート541が組み合わせられることで、中間気体分配プレート260と気体分配プレート241との間に、プレナム542から分離した中間プレナム545が形成される。気体分配システム500は、膜形成組成物供給システム(図示されていない)からプレナム542へ入り込む膜形成組成物を受け取り、かつ中間プレナム545を介して前記膜形成組成物を処理チャンバへ分配するように構成される。
中間気体分配プレート560は、膜形成組成物を中間プレナム545へ分配及び供給するように配置された複数の開口部562を有する。複数の開口部562は、上述のように、形状が定められ、配置され、分布し、又はサイズが定められて良い。
代替実施例では、気体分配システムは、気体リング、気体ノズル、気体ノズルのアレイ、又はこれらを組み合わせたものを有して良い。
一例によると、Si含有材料−具体的にはオルガノシリケートガラス(OSG)−は、上述の例においてフィラメント支援化学気相成長(FACVD)法と呼ばれるCVD法を用いて堆積される。典型的なFACVDでは、Si含有化学前駆体はヘリウム(He)流に導入されるDEMSを有し、かつ処理条件は、Si含有化学前駆体の流速は約100mg/分で、キャリアガスの流速は約25sccm(標準状態でのcm3/分)で、熱源の温度は約959℃〜1020℃で、圧力は約6Torrで、基板ホルダの温度は約278℃〜約294℃で、かつ熱源と基板との間の距離は約50.8mmである。
図6Aでは、FACVDにより堆積されたSi含有材料が、従来のPECVDにより堆積されたSi含有材料と比較されている。具体的には、FACVDにより堆積された材料とPECVDにより堆積された材料のいずれについても、炭化水素、Si-H結合、及びSiCH3結合の相対量が与えられている。
ここで図6Bを参照すると、FACVDにより堆積されたSi含有材料が、FACVDにより堆積された材料の硬化後に従来のPECVDにより堆積されたSi含有材料と比較されている。硬化処理中、FACVDにより堆積されたSi含有材料は、紫外(UV)放射線に約2分間曝露される。図6Bから明らかなように、FACVDにより堆積された材料の化学組成とPECVDにより堆積された材料の化学組成は同一である。
ここで図7を参照すると、硬化されていないFACVDにより堆積された材料と硬化されたFACVDにより堆積された材料について、複数の膜の特性が比較されている。具体的には、誘電率(k)、モジュラス(E)、硬度(H)、及び屈折率(RI)が与えられている。図7から明らかなように、硬化処理は、膜の機械的特性に関して劇的な効果を有する。モジュラスと硬度の両方が顕著に増大している。
ここで図8を参照すると、PECVDにより堆積された材料と硬化したFACVDにより堆積された材料との間でのこれらの膜の特性が比較されている。図8から明らかなように、PECVDにより堆積された材料と硬化したFACVDにより堆積された材料については、k、E、H、及びRIの測定値は同一である。
他の例によると、Si含有材料−具体的にはオルガノシリケートガラス(OSG)−が、上述のFACVD法を用いることによって堆積される。典型的なFACVD法では、Si含有化学前駆体はメチルトリオキシシラン(MTES)を有し、ポロゲンはヘリウム(He)流に導入されるパラシメン(PCM)を有し、かつ処理条件は、Si含有化学前駆体の流速は約30mg/分〜約100mg/分で、ポロゲンの流速は約100mg/分で、キャリアガスの流速は約25sccm(標準状態でのcm3/分)〜100sccmで、熱源(たとえばTa加熱素子)の温度は約499℃〜952℃で、圧力は約6Torr〜約10Torrで、基板ホルダの温度は約61℃〜約221℃で、かつ熱源と基板との間の距離は約19mm〜約57mmである。

他の例によると、Si含有材料−特にオルガノシリケートガラス(OSG)−は、上述のフィラメント支援CVD法(FACVD)を用いて堆積される。典型的なFACVD法では、Si含有化学前駆体はメチルトリオキシシランを有し、ポロゲンはヘリウム(He)流に導入されるパラシメンを有し、かつ処理条件は、Si含有化学前駆体の流速は約30mg/分〜約100mg/分で、ポロゲンの流速は約70mg/分〜約300mg/分で、キャリアガスの流速は約25sccm(標準状態でのcm3/分)〜約50sccmで、熱源(つまりNi-Cr合金(たとえばニクロム(登録商標))加熱素子)の温度は約715℃〜895℃で、圧力は約4Torr〜約12Torrで、基板ホルダの温度は約61℃〜約198℃で、かつ熱源と基板との間の距離は約19mm〜約44mmである。
表1は、DEMSを用いてFACVDにより堆積された材料と、MTES/PCMを用いてFACVDにより堆積された材料との間での膜の特性の比較を表している。
Figure 0005785152
表1から明らかなように、いずれの硬化したFACVDにより堆積された材料についても、k、E、及びHの測定値は同一である。
本願発明者らはまた、圧力及びキャリアガスの希釈(たとえばHe)が、膜のモフォロジー及び膜の収縮を変化させるのに利用できることを発見した。たとえば低圧力及び低希釈(つまり低キャリアガス流速)は、膜のモフォロジーを改善する傾向にある。さらに低圧及びニクロム加熱素子は(Ta加熱素子と比較して)、硬化後の膜の収縮を小さくしようとする傾向にある。

Claims (33)

  1. 表面上に薄膜を堆積するための化学気相成長法であって:
    処理チャンバ内の基板ホルダ上に基板を設ける工程;
    Si含有化学前駆体及び有機化学前駆体の両方を有する処理気体を前記処理チャンバへ導入する工程;
    前記基板ホルダから分離した非電離熱源に前記Si含有化学前駆体及び前記有機化学前駆体を曝露することで、前記Si含有化学前駆体及び前記有機化学前駆体の分解を起こす工程;
    前記Si含有化学前駆体及び前記有機化学前駆体の分解物に前記基板を曝露する工程;
    組成傾斜オルガノシリコン含有材料を含む薄膜を前記基板上に堆積する工程;及び、
    前記有機化学前駆体の量に対する前記Si含有化学前駆体の量を調節することで、前記組成傾斜オルガノシリコン含有材料の厚さ全体にわたるSi含有材料と有機材料の相対濃度を空間的に変化させる工程;
    を有する方法。
  2. 前記Si含有材料が、堆積された状態で3.0未満の誘電率を有する、請求項1に記載の方法。
  3. 前記基板を20℃乃至350℃の範囲の基板温度に維持する工程をさらに有する、請求項1に記載の方法。
  4. 前記基板温度が150℃乃至230℃の範囲である、請求項3に記載の方法。
  5. 前記Si含有化学前駆体が、Si含有の構造形成分子及び孔生成分子を有する、請求項1に記載の方法。
  6. 前記孔生成分子は、側鎖基として前記Si含有の構造形成分子と弱く結合し、又は、前記孔生成分子は、前記Si含有の構造形成分子と結合しない、請求項5に記載の方法。
  7. 前記Si含有材料をエネルギー源に曝露することで、前記Si含有材料から前記孔生成分子を部分的又は全体的に除去する工程をさらに有する、請求項5に記載の方法。
  8. 前記のSi含有材料をエネルギー源に曝露する工程は、前記のSi含有材料を堆積する工程中及び/又は該工程後に実行される、請求項7に記載の方法。
  9. 前記Si含有材料が、前記のSi含有材料をエネルギー源に曝露する工程後において、2.7以下の誘電率を有する、請求項7に記載の方法。
  10. 前記Si含有材料が、前記のSi含有材料をエネルギー源に曝露する工程後において、体積にして少なくとも5%の有孔率を有する、請求項7に記載の方法。
  11. 前記エネルギー源が、コヒーレントな電磁放射線源及び/又は非コヒーレントな電磁放射線源を有する、請求項7に記載の方法。
  12. 前記エネルギー源が、光子源、電子源、プラズマ源、マイクロ波放射線源、紫外(UV)放射線源、赤外(IR)放射線源、可視放射線源、熱エネルギー源、又は上記のうちの2つ以上を組み合わせたものを有する、請求項7に記載の方法。
  13. 前記Si含有化学前駆体が:
    R1は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3であるときの、R1 n(OR2)4-nSi;
    R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2とR4は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3で、かつm=1〜3であるときの、R1 n(OR2)3-nSi-O-SiR3 m(OR4)3-m
    R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2とR4は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3で、かつm=1〜3であるときの、R1 n(OR2)3-nSi-SiR3 m(OR4)3-m
    R1は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3であるときの、R1 n(O(O)CR2)4-nSi;
    R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2とR4は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3で、かつm=1〜3であるときの、R1 n(O(O)CR2)3-nSi-O-SiR3 m(O(O)CR4)3-m
    R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2とR4は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3で、かつm=1〜3であるときの、R1 n(O(O)CR2)3-nSi-SiR3 m(O(O)CR4)3-m
    R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、R4は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3で、かつm=1〜3であるときの、R1 n(O(O)CR2)3-nSi-O-SiR3 m(OR4)3-m
    R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、R4は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3で、かつm=1〜3であるときの、R1 n(O(O)CR2)3-nSi-SiR3 m(OR4)3-m
    R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、R4は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3で、かつp=1〜3であるときの、R1 n(OR2)p(O(O)CR4)4-(n+p)Si;
    R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2とR6は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3で、m=1〜3で、p=1〜3で、かつq=1〜3であるときの、R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q
    R1とR3は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、R2とR6は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化して良く、n=1〜3で、m=1〜3で、p=1〜3で、かつq=1〜3であるときの、R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q
    R1とR2は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、xは2〜8の範囲の任意の整数であって良いときに、(OSiR1R2)xで表される環状シロキサン;
    R1は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素で、R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、R3は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、n=1〜3で、かつp=0〜3であるときの、R1 n(OR2)p(O(O)CR3)4-(n+p)Si;
    R1とR3は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素で、R2とR6は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、n=0〜3で、m=0〜3で、p=0〜3で、かつq=0〜3で、n+m≧1、n+p≦3、及びm+q≦3であるときの、R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q
    R1とR3は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素で、R2とR6は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、n=0〜3で、m=0〜3で、p=0〜3で、かつq=0〜3で、n+m≧1、n+p≦3、及びm+q≦3であるときの、R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q
    R1とR3は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素で、R2とR6とR7は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、R4とR5は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、n=0〜3で、m=0〜3で、p=0〜3で、かつq=0〜3で、n+m≧1、n+p≦3、及びm+q≦3であるときの、R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q
    R1は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素で、R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、R3は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、n=1〜3で、p=0〜3で、かつt=2〜4で、n+p≦4であるときの、(R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t
    R1は、独立したH若しくはC1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化した炭化水素で、R2は、独立したC1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、R3は、独立したH、C1〜C6で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、芳香族で、部分的若しくは全体的にフッ化した炭化水素で、n=1〜3で、p=0〜3で、かつt=1〜3で、n+p≦4であるときの、(R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t
    R1とR2は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、xは2〜8の範囲の任意の整数であって良いときの、(OSiR1R2)xの環状シロキサン;
    R1とR2は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、xは2〜8の範囲の任意の整数であって良いときの、(NR1SiR1R2)xの環状シラザン;
    R1とR2は、独立したH、C1〜C4で、直線状若しくは分岐状で、飽和し、単価若しくは多価不飽和で、環状で、部分的若しくは全体的にフッ化して良く、xは2〜8の範囲の任意の整数であって良いときの、[(CR1R2)(SiR1R2)]xの環状カルボシラン;
    上記のうちの2種類以上の混合物;
    を有する、請求項1に記載の方法。
  14. 前記Si含有化学前駆体がポロゲンと混合し、かつ/又は、
    前記Si含有化学前駆体は、該Si含有化学前駆体に付着するポロゲンを有する、
    請求項1に記載の方法。
  15. 前記Si含有化学前駆体が:
    ジエトシキメチルシラン;
    ジメチルジメトキシシラン;
    ジメチルトリエトキシシラン;
    1,3-ジメチル-1,3-ジエトキシジシロキサン;
    1,2-ジメチル-1,1,2,2-テトラエトキシジシラン;
    ジメチルジアセトキシシラン;
    1,3-ジメチル-1,3-ジアセトキシジシロキサン;
    1,2-ジメチル-1,1,2,2-テトラアセトキシジシラン;
    1,3-ジメチル-1-アセトキシ-3-エトキシジシロキサン;
    1,2-ジメチル-1-アセトシキ-2-エトキシジシラン;
    ジ-t-ブトキシメチルシラン;
    メチルアセトキシ-t-ブトキシシラン;
    1,3-ジメチル-1,3-ジアセトキシ-1,3-ジエトキシジシロキサン;
    1,2-ジメチル-1,2-ジアセトキシ-1,2-ジエトキシジシラン;
    1,3,5,7-テトラメチルシクロテトラシロキサン;若しくは、
    オクタメチルシクロテトラシロキサン;又は、
    上記のうちの2種類以上の混合物;
    を有する、請求項1に記載の方法。
  16. 前記Si含有化学前駆体がSi含有の構造形成分子及び孔生成分子を有し、
    前記孔生成分子が:
    n=4〜14で、環状構造中の炭素数は4〜10で、かつ、環状構造上で置換された複数の単純又は分岐状の炭化水素が存在して良い、一般式CnH2nの環状炭化水素;
    n=2〜20で、y=0〜nである、一般式CnH(2n+2)-2yの線形若しくは分岐状で、飽和し、単価若しくは多価不飽和炭化水素;
    xは分子中の不飽和サイト数で、n=4〜14で、環状構造中の炭素数は4〜10で、かつ環状構造上で置換された複数の単純又は分岐状の炭化水素が存在して良く、不飽和は、環内部又は環状構造への炭化水素置換物のうちの1つに存在して良い、一般式CnH2n-2xの単価又は多価不飽和環状炭化水素;
    n=4〜14で、二環式構造中の炭素数は4〜12で、かつ、環状構造上で置換された複数の単純又は分岐状の炭化水素が存在して良い、一般式CnH2n-2の二環式炭化水素;
    xは分子中の不飽和サイト数で、n=4〜14で、二環式構造中での炭素数は4〜12で、かつ環状構造中上で置換された複数の単純又は分岐状の炭化水素が存在して良く、不飽和は、環内部又は環状構造への炭化水素置換物のうちの1つに存在して良い、一般式CnH2n-(2+2x)の多価不飽和二環式炭化水素;
    n=4〜14で、三環式構造中の炭素数は4〜12で、かつ環状構造中上で置換された複数の単純又は分岐状の炭化水素が存在して良い、一般式CnH2n-4の三環式炭化水素;
    R’とR’’が1〜3の炭素種から独立に選ばれうる、一般式C6HnR’xR’’6-(n+x)の置換アルキル芳香族構造;
    置換芳香族種;又は
    上記のうちの2種類以上の混合物;
    を有する、請求項1に記載の方法。
  17. 前記孔生成分子が:
    メチルイソプロピルベンゼン;
    パラシメン;
    エチルトルエン;
    パラエチルトルエン;
    パラキシレン;
    メタキシレン;
    オルトキシレン;
    エチルベンゼン;
    プロピルベンゼン;
    トルエン;
    ジエチルベンゼン;
    シクロヘキサン;
    トリメチルシクロヘキサン;
    1-メチル-4(1-メチルエチル)シクロヘキサン;
    シクロオクタン;
    メチルシクロオクタン;
    シクロオクタジエン;
    デカヒドロナフタレン;
    エチレン;
    プロピレン;
    アセチレン;
    ネオヘキサン;
    シクロヘキサン;
    ビニルシクロヘキサン;
    ジメチルシクロヘキサン;
    t-ブチルシクロヘキサン;
    α-ターピネン;
    ピネン;
    リモネン;
    1,5-ジメチル-2,4-ヘキサジエン;
    ビニルシクロヘキサン;
    ビシクロヘプタジエン;
    ノルボルナン;
    スピロノナン;
    カンフェン;
    ノルボルネン;
    ノルボルナジエン;
    アダマンタン;又は
    上記のうちの2種類以上の混合物;
    を有する、請求項16に記載の方法。
  18. 前記処理気体が、酸化ガス及び/又は開始剤を含まない、請求項1に記載の方法。
  19. 前記処理気体が、不活性ガスをさらに有する、請求項1に記載の方法。
  20. 前記処理気体が、不活性ガス並びに酸化ガス及び/又は開始剤をさらに有する、請求項1に記載の方法。
  21. 前記開始剤がジターブチル過酸化物を有する、請求項20に記載の方法。
  22. 前記非電離熱源が、前記基板の表面付近又は上方に取り付けられた抵抗加熱導電性フィラメントを有し、かつ
    前記処理気体は前記処理チャンバへ導入されることで、前記Si含有化学前駆体及び前記有機化学前駆体は、前記抵抗加熱導電性フィラメント全体又は付近を流れる、
    請求項1に記載の方法。
  23. 前記非電離熱源の温度が500℃〜1500℃の範囲である、請求項22に記載の方法。
  24. 前記基板上に堆積された材料を硬化する工程をさらに有する、請求項1に記載の方法。
  25. 前記有機材料が分解可能な有機材料を有する、請求項1に記載の方法。
  26. 前記有機材料をエネルギー源に曝露することで、前記の有機材料の堆積後、前記有機材料を部分的又は全体的に除去する工程をさらに有する、請求項25に記載の方法。
  27. 前記エネルギー源が、コヒーレントな電磁放射線源及び/又は非コヒーレントな電磁放射線源を有する、請求項26に記載の方法。
  28. 前記エネルギー源が、光子源、電子源、プラズマ源、マイクロ波放射線源、紫外(UV)放射線源、赤外(IR)放射線源、可視放射線源、熱エネルギー源、又は上記のうちの2つ以上を組み合わせたものを有する、請求項26に記載の方法。
  29. 前記の有機材料をエネルギー源に曝露する工程が、質量にして、前記有機材料の90%以上を除去する、請求項26に記載の方法。
  30. 前記基板を、80℃〜200℃の基板温度に維持する工程をさらに有する、請求項1に記載の方法。
  31. 前記基板温度が110℃〜180℃の範囲である、請求項30に記載の方法。
  32. 前記有機化学前駆体が:
    n=4〜14で、環状構造中の炭素数は4〜10で、かつ、環状構造上で置換された複数の単純又は分岐状の炭化水素が存在して良い、一般式CnH2nの環状炭化水素;
    n=2〜20で、y=0〜nである、一般式CnH(2n+2)-2yの線形若しくは分岐状で、飽和し、単価若しくは多価不飽和炭化水素;
    xは分子中の不飽和サイト数で、n=4〜14で、環状構造中の炭素数は4〜10で、かつ環状構造上で置換された複数の単純又は分岐状の炭化水素が存在して良く、不飽和は、環内部又は環状構造への炭化水素置換物のうちの1つに存在して良い、一般式CnH2n-2xの単価又は多価不飽和環状炭化水素;
    n=4〜14で、二環式構造中の炭素数は4〜12で、かつ、環状構造上で置換された複数の単純又は分岐状の炭化水素が存在して良い、一般式CnH2n-2の二環式炭化水素;
    xは分子中の不飽和サイト数で、n=4〜14で、二環式構造中での炭素数は4〜12で、かつ環状構造中上で置換された複数の単純又は分岐状の炭化水素が存在して良く、不飽和は、環内部又は環状構造への炭化水素置換物のうちの1つに存在して良い、一般式CnH2n-(2+2x)の多価不飽和二環式炭化水素;
    n=4〜14で、三環式構造中の炭素数は4〜12で、かつ環状構造中上で置換された複数の単純又は分岐状の炭化水素が存在して良い、一般式CnH2n-4の三環式炭化水素;
    R’とR’’が1〜3の炭素種から独立に選ばれうる、一般式C6HnR’xR’’6-(n+x)の置換アルキル芳香族構造;
    置換芳香族種;又は
    上記のうちの2種類以上の混合物;
    を有する、請求項1に記載の方法。
  33. 前記有機化学前駆体が:
    メチルイソプロピルベンゼン;
    パラシメン;
    エチルトルエン;
    パラエチルトルエン;
    パラキシレン;
    メタキシレン;
    オルトキシレン;
    エチルベンゼン;
    プロピルベンゼン;
    トルエン;
    ジエチルベンゼン;
    シクロヘキサン;
    トリメチルシクロヘキサン;
    1-メチル-4(1-メチルエチル)シクロヘキサン;
    シクロオクタン;
    メチルシクロオクタン;
    シクロオクタジエン;
    デカヒドロナフタレン;
    エチレン;
    プロピレン;
    アセチレン;
    ネオヘキサン;
    シクロヘキサン;
    ビニルシクロヘキサン;
    ジメチルシクロヘキサン;
    t-ブチルシクロヘキサン;
    α-ターピネン;
    ピネン;
    リモネン;
    1,5-ジメチル-2,4-ヘキサジエン;
    ビニルシクロヘキサン;
    ビシクロヘプタジエン;
    ノルボルナン;
    スピロノナン;
    カンフェン;
    ノルボルネン;
    ノルボルナジエン;
    アダマンタン;又は
    上記のうちの2種類以上の混合物;
    を有する、請求項1に記載の方法。
JP2012502182A 2009-03-24 2010-03-24 化学気相成長法 Active JP5785152B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16294709P 2009-03-24 2009-03-24
US61/162,947 2009-03-24
US12/730,088 US9212420B2 (en) 2009-03-24 2010-03-23 Chemical vapor deposition method
US12/730,088 2010-03-23
PCT/US2010/028377 WO2010111313A1 (en) 2009-03-24 2010-03-24 Chemical vapor deposition method

Publications (2)

Publication Number Publication Date
JP2012522378A JP2012522378A (ja) 2012-09-20
JP5785152B2 true JP5785152B2 (ja) 2015-09-24

Family

ID=42781448

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012502182A Active JP5785152B2 (ja) 2009-03-24 2010-03-24 化学気相成長法

Country Status (4)

Country Link
US (1) US9212420B2 (ja)
EP (1) EP2412011B1 (ja)
JP (1) JP5785152B2 (ja)
WO (1) WO2010111313A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10100402B2 (en) 2011-10-07 2018-10-16 International Business Machines Corporation Substrate holder for graphene film synthesis
EP2769000B1 (en) * 2011-10-21 2022-11-23 Evatec AG Direct liquid deposition
US9523151B2 (en) * 2014-02-21 2016-12-20 Tokyo Electron Limited Vaporizer unit with open cell core and method of operating
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US20170358445A1 (en) 2016-06-13 2017-12-14 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
JP6955090B2 (ja) 2017-09-19 2021-10-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化ケイ素上における誘電体の選択的堆積のための方法
US20240117495A1 (en) * 2019-10-14 2024-04-11 Silcotek Corp. Cold thermal chemical vapor deposition

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888591A (en) * 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
JP3411559B2 (ja) * 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
EP1448807A4 (en) * 2001-10-30 2005-07-13 Massachusetts Inst Technology FLUORO CARBON ORGANOSILICIUM COPOLYMERS AND COATINGS MADE ACCORDING TO THE HFCVD PROCEDURE
AU2003302222A1 (en) * 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
WO2004038783A2 (en) * 2002-10-21 2004-05-06 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
MXPA05008615A (es) * 2003-02-13 2005-11-04 Akzo Nobel Nv Composiciones de peroxido de cetona ciclico, estables durante el almacenamiento.
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control

Also Published As

Publication number Publication date
WO2010111313A1 (en) 2010-09-30
US9212420B2 (en) 2015-12-15
EP2412011A4 (en) 2015-04-29
EP2412011A1 (en) 2012-02-01
US20100247803A1 (en) 2010-09-30
EP2412011B1 (en) 2017-09-20
JP2012522378A (ja) 2012-09-20

Similar Documents

Publication Publication Date Title
JP5785152B2 (ja) 化学気相成長法
CN1938833B (zh) 促进多孔低k膜与下方阻挡层的粘附的方法及互连结构
EP1873818A2 (en) Process for curing dielectric films
KR100437068B1 (ko) 탄소질 산화실리콘의 형성방법
KR101183641B1 (ko) 에칭 저항성을 지닌 낮은 k 유전체 장벽을 수득하는 방법
US7470454B2 (en) Non-thermal process for forming porous low dielectric constant films
KR100767246B1 (ko) 화학 증착 필름의 침착 속도를 강화시키는 방법
JP5567588B2 (ja) 酸素含有前駆体を用いる誘電体バリアの堆積
JP4756036B2 (ja) 超低誘電率膜を製造するための方法、誘電材料、相互接続構造及び配線構造
US7855123B2 (en) Method of integrating an air gap structure with a substrate
US8618183B2 (en) Materials containing voids with void size controlled on the nanometer scale
JP2007204850A (ja) ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
JP5711176B2 (ja) 組成物
JP2011014925A5 (ja)
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
CN101101876A (zh) 在还原气氛下固化介电膜
TW202110862A (zh) 單烷氧基矽烷及使用其製造的密有機二氧化矽膜
WO2022245742A1 (en) New precursors for depositing films with high elastic modulus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130325

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130404

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140514

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140708

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141110

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20141205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150623

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150714

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150723

R150 Certificate of patent or registration of utility model

Ref document number: 5785152

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250