KR20070028361A - 하부 배리어 층에 저 유전체 상수 (k) 다공성 막의 부착을촉진하는 기술 - Google Patents

하부 배리어 층에 저 유전체 상수 (k) 다공성 막의 부착을촉진하는 기술 Download PDF

Info

Publication number
KR20070028361A
KR20070028361A KR1020067022213A KR20067022213A KR20070028361A KR 20070028361 A KR20070028361 A KR 20070028361A KR 1020067022213 A KR1020067022213 A KR 1020067022213A KR 20067022213 A KR20067022213 A KR 20067022213A KR 20070028361 A KR20070028361 A KR 20070028361A
Authority
KR
South Korea
Prior art keywords
layer
dielectric constant
low dielectric
barrier layer
liner
Prior art date
Application number
KR1020067022213A
Other languages
English (en)
Other versions
KR101141459B1 (ko
Inventor
프란시마 슈미트
알렉산드로스 티. 데모스
알. 위티 드렉
하이쳄 엠'사드
상 에이치. 안
레스터 에이. 디'크루즈
칼리드 에이. 엘쉐프
젠지앙 쿠이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070028361A publication Critical patent/KR20070028361A/ko
Application granted granted Critical
Publication of KR101141459B1 publication Critical patent/KR101141459B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

하부 배리어 층에 다공성 저 유전체 상수 (k) 막의 부착은 상부 다공성 저 유전체 상수 (k) 막보다, 실리콘 산화물이 풍부하며 탄소 함량이 보다 낮은 중간 층을 형성함으로써 개선된다. 이러한 부착 층은 단일의 또는 조합된 기술들 중 하나를 이용하여 형성될 수 있다. 하나의 접근에서, 부착 층은 상부 저 유전체 상수 (k) 재료의 증착 이전에 즉시 Si 전구체를 산화시키기 위해서 O2, CO2등과 같은 풍부한 산화 가스를 도입시킴으로써 형성될 수 있다. 다른 접근에서, 알파-테르피넨, 시멘, 및 임의의 다른 비 산소 함유 유기물과 같은 열적으로 불안정한 화학물질은 저 유전체 상수 (k) 막 증착 이전에 제거된다. 비 실리콘 함유 성분의 도입의 방식과 같은 매개 변수 또는 하드웨어는 저 유전체 상수 (k) 막 증착 이전에 산화물 경계면을 형성하도록 변경할 수 있다. 또 다른 접근에서, 선량, 에너지, 또는 열 어닐링의 이용과 같은 e 빔 처리의 매개변수는 배리어와 저 유전체 상수 (k) 막 사이의 경계면에서 탄소 종을 제거하도록 제어될 수 있다. 추가의 접근에서, 예비 처리 플라즈마는 배리어 경계면의 가열을 강화시키기 위해서 저 유전체 상수 (k) 증착 이전에 도입될 수 있어서 저 유전체 상수 (k) 증착 가스가 도입되고 저 유전체 상수 (k) 막이 증착되는 경우에 얇은 산화물 경계면이 형성된다.

Description

하부 배리어 층에 저 유전체 상수 (K) 다공성 막의 부착을 촉진하는 기술 {TECHNIQUES PROMOTING ADHESION OF POROUS LOW K FILM TO UNDERLYING BARRIER LAYER}
본 출원은 본 원 발명의 모든 목적을 위해서 전체가 참조되며, 2004년 3월 31일 출원된, 미국 가 출원 제 60/558,475 호를 우선권으로 주장하고 있다.
현대 반도체 장치의 주요 제조 단계들 중 하나는 가스의 화학 반응에 의한 기판 상에 금속 및 유전체 막의 형성이다. 이러한 증착 방법은 화학 기상 증착 또는 CVD로 언급된다. 열 유도 화학 반응이 발생되어 바람직한 막을 생성하는 통상적인 열 CVD 공정은 기판 표면에 반응 가스를 공급한다. 몇몇의 열 CVD 공정이 작동하는 높은 온도에서는 기판 상에 이미 형성된 층을 갖는 반도체 소자 구조물이 손상될 수 있다. 상대적으로 낮은 온도에서 증착 금속 및 유전체 막을 증착하는 바람직한 방법이 본원에 참조되는데, 그 예는 "실리콘 산화물을 증착하는 TEOS를 이용하는 플라즈마-강화 CVD 공정"의 명칭으로 미국 특허 제 5,362,526 호에 기재된 바와 같은, 플라즈마-강화 CVD(PECVD) 기술이다. 플라즈마 강화 CVD 기술은 기판 표면 근처의 반응 영역에 무선 주파수(RF) 에너지의 적용에 의해 반응물 가스의 여기 및/또는 해리를 촉진시켜 높은 반응성 종의 플라즈마를 생성시킨다. 생성된 종의 높은 반응성은 발생된 화학 반응에 필요한 에너지를 감소시키며, 그에 따라 이러한 PECVD 공정에 발생하여 필요한 온도를 낮춘다.
반도체 소자 기하학적 구조는 소자가 수년 전 처음 도입된 이후로 크기 면에서 급격하게 감소하고 있다. 집적 회로는 일반적으로, 매 2년마다 칩의 소자 수가 배로 증가할 것임을 의미하는, 2년/반-크기 법칙(종종, 무어의 법칙; Moore's Law)을 따른다. 오늘날 제조 설비는 통상적으로, 0.35 ㎛ 및 심지어 0.25 ㎛ 피쳐 크기를 갖는 소자를 생성시키며, 미래의 설비는 보다 작은 기하학적 구조를 갖는 소자를 생성시킬 것이다.
집적 회로 상의 소자의 크기를 더 감소시키기 위해서, 인접한 금속 라인들 사이의 용량성 커플링을 감소시키기 위해서 저 유전체 상수(유전체 상수<2.5)를 갖는 저 저항성 및 절연체를 구비한 전도성 재료를 사용할 필요가 있다. 라이너/배리어 층은 1999년 8월 17일 공개된, 국제 공개 공보 WO 99/41423 에 기재된 바와 같은 전도성 재료 상에 수분과 같은 부산물의 확산을 방지하기 위해서 전도성 재료와 절연체 사이에 이용된다. 예를 들어, 저 유전체 상수 (k) 절연체의 형성 중에 발생할 수 있는 수분은 전도성 금속의 표면에 쉽게 확산되며 전도성 금속 표면의 저항성을 증가시킨다. 유기실리콘 또는 유기실란 질화물 재료로 형성되는 배리어/라이너 층은 부산물의 확산을 방지할 수 있다. 그러나, 배리어/라이너 층은 통상적으로, 약 2.5 보다 큰 유전체 상수를 가지며, 높은 유전체 상수는 유전체 상수를 현저하게 감소시킬 수 없는 통합된 절연체를 야기한다.
도 1a 내지 도 1e는 국제 공개 공보 WO 99/41423 호에 기재된 바와 같은 유 기실란 또는 유기실록산의 PECVD 라이닝 층(2)을 증착하는 세 개의 층 증착 PECVD 공정을 도시하고 있다. 라이닝 층(2)은 후속적인 층(7)과 하부 기판 표면(6) 및 기판 표면 상에 형성되는 금속 라인(8, 9, 10) 사이에 격리 층으로서 작용한다. 층(7)은 산화된 유기실란 또는 유기실록산 폴리머의 PECVD 캡핑 층(12)에 의해 캡핑된다. PECVD 공정은 복합-성분 유전체 층을 증착하며, 여기서 탄소 함유 실리콘 이산화물(SiO2)이 기판(6) 상에 형성되는 금속 라인(8, 9, 10)을 갖는 패턴화된 금속 층 상에 우선 증착된다.
도 1a와 관련하여, PECVD 라이닝 층(2)은 약 50 내지 350℃ 범위의 온도에서 아르곤과 같은 불활성 가스의 존재에서의 N2O와 같은 산화 가스, 및 CH3SiH3, 메틸실란과 같은 유기실란 또는 유기실록산의 플라즈마 강화 반응에 의해 증착된다. 산화된 유기실란 또는 유기실록산 층은 경화링된다. 증착된 PECVD 라이닝 층(2)(약 2000Å/분)은 도 1b에 도시된 층(7)의 후속적인 증착을 위해 향상된 배리어 특성을 갖는다. 메틸실란으로부터 달성된 라이닝 층은 소수성일 수 있는 충분한 C-H 결합을 가지며, 매우 양호한 수분 배리어이다. 저 유전체 상수 (k) 층(7)은 층(7)의 증착 중에 약 0.2 내지 약 5 토르의 압력에서 200 ℃ 이하의 온도에서 과산화 수소(H2O2) 및 실란 화합물의 반응에 의해 라이너 층(2) 상에 증착된다. 층(7)은 도 1d에 도시된 바와 같은 캡 층(12)의 증착 이전에 물과 같은 용제를 제거하도록 도 1c에 도시된 바와 같이 부분적으로 경화될 수 있다. 경화는 10 토르 미만의 불활성 대기하에서 반응을 펌핑 다운함으로써 수행된다.
실리콘 질화물(SiN)과 같은 통상적인 라이너 층은 실리콘 산화물보다 높은 유전체 상수를 가지며, 높은 유전체 상수 (k) 라이너 층과 저 유전체 상수 (k) 층의 조합물은 전반적인 적층 유전체 상수 및 용량성 커플링에서 약간 향상되거나 또는 향상되지 않는다. 도 1d와 관련하여, 층(7)의 증착 후에, 선택적 캡핑 층(12)은 N2O와 같은 산화 가스 및 유기실란 또는 유기실록산의 플라즈마 향상 반응에 의해 저 유전체 상수 (k) 층(7) 상에 증착될 수 있다. 도 1e와 관련하여, 캡핑 층의 증착 후에, 만약 있다면, 증착된 층은 잔여 용제 또는 물을 몰아냄으로써 노 또는 다른 챔버에서 경화된다. 캡핑 층(12)은 또한, 양호한 배리어 특성 및 약 4.0의 유전체 상수를 갖는 산화된 유기 실란 또는 유기 실록산 막이다. 라이너 층(2) 및 캡핑 층(12) 둘 모두는 3.0 보다 큰 유전체 상수를 가지며, 높은 유전체 상수 층은 실질적으로 저 유전체 상수 (k) 층(7)의 편익을 실질적으로 줄인다.
소자가 점점 작아짐에 따라서, 상대적으로 높은 유전체 상수를 갖는 라이너 층 및 캡 층은 복합-성분 유전체 층의 전반적인 유전체 상수에 보다 도움이된다. 부가적으로, 보다 작은 소자 기하학적 구조는 소자들 사이의 기생 정전용량(parasitic capacitance)의 증가를 야기한다. 회로 내의 동일하거나 인접한 층 상의 금속 인터커넥트들 사이의 기생 정전용량은 금속 라인 또는 인터커넥트와/또는 저항 정전용량(RC) 지연 사이의 누화를 야기할 수 있어서, 소자의 응답 시간을 감소시키며 소자의 전반적인 성능을 떨어뜨린다. 회로 내의 동일하거나 인접한 층 상의 금속 인터커넥트들 사이의 기생 정전용량의 효과는 기술 분야의 회로의 현 상 태가 4 내지 5 레벨의 인터커넥션을 사용할 수 있기 때문에 특별 관심사이지만, 다음 세대의 소자는 6, 7 또는 가능한 8 레벨의 인터커넥션을 필요로 할 수 있다.
유전체 재료에 의해 분리되는 금속 인터커넥트들 사이의 기생 정전용량을 떨어뜨리는 것은 유전체 재료의 유전체 상수를 떨어뜨리거나 유전체 재료의 두께를 증가시킴으로써 달성될 수 있다. 그러나, 유전체 재료의 두께를 증가시키는 것은 동일한 금속화된 층 또는 평면 내에 기생 정전용량을 어드레싱(address)하지 않는다. 결과적으로, 동일하거나 인접한 층 상의 금속 인터커넥트들 사이의 기생 정전용량을 감소시키기 위해서, 현재 사용되는 재료의 유전체 상수 즉, k
Figure 112006077349459-PCT00001
3.0보다 낮은 유전체 상수를 갖는 재료에 금속 라인 또는 인터커넥트 사이에 이용되는 재료를 변경시켜야만 한다.
따라서, 양호한 부착력을 갖춘 약 2.5 이하의 유전체 상수를 갖는 유전체 층에 대한 필요성이 남아있다.
하부 배리어 층에 다공성 저 유전체 상수 (k) 막의 부착은 상부 다공성 저 유전체 상수 (k) 막보다, 실리콘 산화물이 풍부하며 탄소 함량이 보다 낮은 중간 층을 형성함으로써 개선된다. 이러한 부착 층은 단일의 또는 조합된 기술들 중 하나를 이용하여 형성될 수 있다. 특정 접근에서, 부착 층은 상부 저 유전체 상수 (k) 층의 형성 이전에 생성될 수 있다. 이러한 실시예에서, 산화물 부착 층은 배리어/리니어 층의 표면 산에 남아있는 Si 전구체를 산화시키기 위해서 O2, CO2에 제한되는 것은 아니지만 포함하는 풍부한 산화 가스를 도입시킴으로써 형성될 수 있다. 본 발명의 다른 실시예에 따라서, 비 실리콘 함유 성분의 도입의 방식과 같은 매개 변수 또는 하드웨어는 상부 저 유전체 상수 (k) 나노 다공성 막의 증착 이전에 개개의 산화물 부착 경계면을 형성하도록 변경할 수 있다. 또 다른 실시예에서, 라이너/배리어 층은 저 유전체 상수 (k) 증착 이전에 플라즈마에 노출될 수 있어서 배리어 경계면의 가열을 강화하여 가스가 저 유전체 상수 (k) 증착을 야기하도록 도입되는 경우에 얇은 산화물이 후속적으로 형성된다. 다른 접근에서, 부착 층은 상부 저 유전체 상수 (k) 층의 형성 다음에 생성될 수 있다. 하나의 실시예에서, 어닐링 대기, 열 어닐링 온도, 및 선량 또는 에너지와 같은 e빔 어닐링 매개변수는 배리어와 저 유전체 상수 (k) 막 사이의 경계면에서 탄소 및 다른 종을 제거하도록 제어될 수 있다.
나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키기 위한 본 발명에 따른 방법의 실시예는 라이너/배리어 층을 지지하는 기판을 제공하는 단계를 포함한다. 실리콘 산화물 부착 층은 라이너/배리어 층 위에 형성된다. 저 유전체 상수 (k) 막은 부착 층 위에 증착되며, 증착되는 저 유전체 상수 (k) 막은 내부에 나노 다공을 형성하도록 경화된다.
나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키기 위한 본 발명에 따른 방법의 실시예는 라이너/배리어 층을 지지하는 기판을 제공하는 단계, 및 라이너/배리어 층 위에 저 유전체 상수 (k) 막을 증착시키는 단계를 포함한다. 전자 빔 조사는 내부에 구멍을 생성시키며 라이너/배리어 층과 저 유전체 상수 (k) 막 사이의 경계면을 따라서 탄소 함량을 감소시키기 위해서 저 유전체 상수 (k) 막에 가해져서, 산화물 부착 층은 라이너/배리어 층과 저 유전체 상수 (k) 막 사이에 형성된다.
집적 회로를 위한 본 발명에 따른 인터커넥트 구조물의 실시예는 라이너/배리어 층, 라이너/배리어 층 위에 있는 실리콘 산화물 부착 층; 및 부착 층 위에 있는 나노 다공성 저 유전체 상수 (k) 층을 포함한다.
본 발명에 따른 실시예의 이해를 더 용이하게 하기 위해서, 첨부 도면과 관련된 다음의 상세한 설명을 참조하여 보다 구체적으로 설명한다.
도 1a 내지 도 1e는 당업계에 공지된 방법에 의해 기판 상에 증착되는 유전체 층의 개략적인 도면이며;
도 2는 본 발명에 따라 이용하기 위해 구성되는 예시적 CVD 반응기의 횡단면도이며;
도 3은 도 2의 반응기에 유입되기 이전에 공정 가스의 분리를 위한 원경 마이크로파 챔버의 개략적 도면이며;
도 4는 도 2의 예시적 CVD 반응기와 관련되어 이용되는 공정 제어 컴퓨터 프로그램 제품의 흐름도이며;
도 5는 본 발명의 일 실시예에 따른 증착 공정 내에 라이너 및 캡 층을 증착하여 착수되는 단계를 도시하는 흐름도이며;
도 6a 내지 도 6f는 도 5의 공정에 의해 기판 상에 증착되는 층의 개략적 도 면이며;
도 7은 본 발명의 실리콘 산화물 층을 포함하는 이중 다마신 구조물을 도시하는 횡단면도이며;
도 8a 내지 도 8h는 본 발명의 이중 다마신 증착 순서의 일 실시예를 도시하는 횡단면도이며;
도 9는 다수의 여러 개의 막 적층을 위한 푸리에 변환 적외선(FTIR) 스펙트럼의 도표이다.
본 발명에 따른 실시예는 단일 또는 조합으로 이용되며, 나노 다공성 저 유전체 상수 (k) 막과 하부 배리어 층 사이의 부착을 개선하는 다수의 기술에 관한 것이다.
모든 목적을 위해서 미국 특허 제 6,541,367 호는 본원에 참조되며 도입된다. 이들 특허는 저 유전체 상수를 갖는 나노 다공성 실리콘 산화물 층을 증착하는 방법이 기재되어 있다. 나노 다공성 실리콘 산화물 층은 선택적으로 열적으로 불안정한 유기 그룹을 포함하는 실리콘/산호 함유 재료의 플라즈마 향상(PECVD) 또는 마이크로파 향상 화학 기상 증착, 및 실리콘 산화물 층 내에 균일하게 분산되는 초소형 가스 포켓을 형성하도록 증착된 실리콘/산소 함유 재료의 제어된 어닐링에 의해 생성된다. 실리콘 산화물 층에 대한 초소형 가스 포켓의 상대적인 부피는 어닐링 후에 저 유전체 상수를 제공하는 닫힌 기포 발포 구조물을 바람직하게 유지하기 위해서 제어된다. 나노 다공성 실리콘 산화물 층은 약 3.0 미만, 바람직하게는 약 2.5 미만의 유전체 상수를 갖는다.
실리콘/산소 재료는 산화가능한 실리콘 성분 또는 산화가능한 실리콘 성분을 포함하는 혼합물 및 산화가능 가스를 갖는 열적으로 불안정한 그룹을 갖는 불포화성 비 실리콘 베어링 성분을 반응시킴으로써 화학 기상 증착된다. 산화 가스는 산소(O2), 또는 일산화 질소(N2O), 오존(O3), 및 탄소 이산화물(CO2), 바람직하게는 N2O, O2와 같은 산소 함유 화합물이다.
산소 및 산소 함유 화합물은 바람직하게는, 증착된 막 내의 바람직한 탄소 함량을 달성하는데 필요한 경우에 반응성을 증가시키기 위해서 분리된다. RF 전력은 산화 화합물의 분리를 증가시키기 위해서 증착 챔버에 연결될 수 있다. 산화 화합물은 실리콘 함유 화합물의 과도한 분리를 감소시키기 위해서 증착 챔버에 유입되기 이전에 마이크로파 챔버 내에서 분리될 수도 있다. 실리콘 산화물 층의 증착은 연속적이거나 불연속적일 수 있다. 증착이 바람직하게는 단일 증착 챔버 내에서 발생할 수 있지만, 층은 두 개 이상의 증착 챔버 내에서 순차적으로 증착될 수 있다. 게다가, RF 전력은 증착된 막 내에 보다 큰 다공성을 촉진시키고 기판의 가열을 감소시키기 위해서 순환되거나 펄스화될 수 있다.
산화가능한 실리콘 함유 화합물 또는 혼합물의 산화가능한 실리콘 성분은 일반적으로 구조물:
Figure 112006077349459-PCT00002
을 포함하는 유기실란 또는 유기실록산 화합물을 포함한다.
각각의 Si는 하나 이상의 수소 원자에 결합되며 하나 또는 두 개의 탄소 원자에 결합될 수 있으며, C는 유기 그룹, 바람직하게는, -CH3, -CH2-CH3, -CH2-, -CH2-CH2-, 또는 이들의 플루오르화 탄소 유도체와 같은 알킬 또는 알킬 그룹 내에 포함된다. 유기실란 또는 유기실록산 화합물은 두 개 이상의 Si 원자를 포함하며, 각각의 Si는 -O-, -C-, 또는 -C-C-에 의해 다른 Si로부터 분리되며, 각각의 가교 C는 유기 그룹, 바람직하게는, -CH2-, -CH2-CH2-, -CH(CH3)-, -C(CH3)2-, 또는 이들의 플루오르화 탄소 유도체와 같은 알킬 또는 알케닐 그룹 내에 포함된다. 바람직한 유기실란 및 유기실록산 화합물은 실온 근처의 가스 또는 액체이며 약 10 토르 이상으로 휘발될 수 있다. 적합한 실리콘 함유 화합물은:
Figure 112006077349459-PCT00003
Figure 112006077349459-PCT00004
및 1,2-디실라노테트라플루오로에탄과 같은 이들의 플루오르화 탄소 유도체를 포함한다. 유기실란 및 유기실록산 내의 수소 그룹은 C-H 결합을 C-F 결합으로 전환하기 위해서 부분적으로 또는 완전히 플루오르화될 수 있다. 다수의 바람직한 유기실란 및 유기실록산 화합물은 상용으로 이용가능하다. 두 개 이상의 유기실란 또는 유기실록산의 조합물은 유전체 상수, 산화물 함량, 소수성, 막 응력, 및 플라즈마 식각 특성과 같은 바람직한 특성의 혼합을 제공하는데 이용될 수 있다.
산화가능한 실리콘 성분은 열적으로 불안정한 그룹을 갖는 불포화성 비 실리콘 베어링 성분을 갖는 화합물을 형성하며, 유기실란 또는 유기실록산 화합물은 실리콘 산소 결합 및 실리콘 수소 결합 모두를 갖는 기능 그룹이다. 결합 요건을 갖는 바람직한 기능 그룹은:
메틸실록시, 및 (CH3-SiH2-O-)
디메틸실록시 ((CH3)2-SiH-O-)를 포함한다.
열적으로 불안정한 그룹을 갖는 불포화성 비-실리콘 베어링 성분은 낮은 끓는점을 갖는 휘발성 종을 형성하도록 상승된 온도에 순착적으로 노출되며 열적으로 분해되는 경우에 열적으로 불안정한 분자를 형성하는, 플라즈마 지속되는 산화 분위기에서 반응하는 특성을 갖는다. 증착되는 막으로부터 열적으로 불안정한 그룹의 휘발성 종의 분해 및 방출은 구조물 내의 공극을 떠나, 구조물의 밀도를 감소시킨다. 열 공정에 의해 증착되는 막 내의 삽입형 화학적으로 반응하는 고체 재료를 선택적으로 제거하는 것은 저 유전체 상수를 갖는 저 밀도 막을 야기한다. 2,4,6-트리실라옥산(2,4,6-트리실라테트라하이드로피란) 및 시클로-1,3,5,7-테트라실라노-2,6-다이옥시-4,8-디메틸렌과 같은 몇몇의 화합물을 이용하는 공극의 형성은 비-평면 링 구조물:
1,3,5,7-테트라실라노-2,6-다이옥시-4,8-디메틸렌, 및-(-SiH2-CH2-SiH2-O-)2-(고리)
2,4,6-트리실라테트라하이드로피란, -SiH2-CH2-SiH2-CH2-SiH2-O-(고리)에 의하여 불안정한 그룹의 첨가 없이 어닐링 중에 달성된다.
열적으로 불안정한 유기 그룹은 실리콘 산화물 층이 어닐링되는 경우에 가스 생성물을 형성하기 위해 충분한 산소를 포함한다.
산화가능한 실리콘 성분이 열적으로 불안정한 그룹을 갖는 불포화성 비-실리콘 베어링 성분을 갖춘 화합물을 형성하는 경우에, 바람직한 열적으로 불안정한 그룹은 (두 개 또는 그 이상의 탄소-탄소 이중 결합을 갖는)다중 불포화성 시클로알칸을 함유하는 비-실리콘이며, 분자 구조물 내에 도입되는 산소 또는 질소와 함께, 헤테로시킬로디알켄을 포함하며, 일반적으로 플라즈마 분위기에서 유리하게 수행되는 경향이 있다. 바람직하게 불안정한 그룹은:
다이옥신, C4H4O2, -(-CH=CH-O-CH=CH-O-)-, 고리
퓨란, C4H4O, -(-CH=CH-CH=CH-O-)-, 고리
풀벤, C6H6, -(-CH=CH-CH=CH-C(CH2)-)-, 고리를 포함한다.
산화가능한 실리콘 성분 및 열적으로 불안정한 그룹을 포함하는 산화가능한 실리콘 함유 화합물은:
Figure 112006077349459-PCT00005
Figure 112006077349459-PCT00006
및 이들의 플루오르화 유도체를 포함한다. 바람직하게, 화합물은 실온에서 액체이며 10 토르 또는 그 이상의 압력 근처에서 휘발될 수 있다. 이러한 화합물은 약 50℃ 이하의 온도에서 다수의 불안정한 유기 그룹을 유지하는 젤형 실리콘/산소 함유 재료를 형성하도록 산화 가스와 반응한다.
증착되는 실리콘/산소 함유 재료 내에 유지되는 불안정한 그룹의 양은 하나 또는 그 이상의 불안정한 유기 그룹을 포함하는 비-실리콘 함유 성분과 반응성 화합물을 혼합함으로써 증가할 수 있다. 불안정한 유기 그룹은 실리콘 함유 반응성 화합물 및 다른 산소 함유 유기 그룹을 위해 기재된 다이옥산, 퓨란, 및 풀벤 유도체 화학물질을 포함한다. 불안정한 유기 그룹은 바람직하게는, 동일한 분자 내에 도입되는 실리콘 함유 및 비-실리콘 함유 성분이지만, 비닐 그룹과 대체되는 메틸시릴 또는 메틸실록사닐 그룹, 또는 에스테르 그룹과 대체되는 메틸실록사닐 그룹, 또는 다른 비-실리콘 함유 유기 그룹과 대체되는 메틸실록사닐 그룹을 갖추고 있으며, 이 외에도 1,4-다이옥신 및 퓨란과 같은, 메틸실록사닐 없이 화학물질을 갖추고 있다. 바람직한 비-실리콘 함유 다중 불포화성 시클로알칸(두 개 또는 그 이상의 탄소-탄소 이중 결합을 가짐)은:
Figure 112006077349459-PCT00007
Figure 112006077349459-PCT00008
및 이들의 플루오르화 탄소 유도체를 포함한다.
비-실리콘 함유 조성물은:
Figure 112006077349459-PCT00009
및 이들의 플루오르화 탄소 유도체와 같은, 불안정한 유기 그룹을 포함하지 않는 반응성 실리콘 함유 재료와 대안적으로 혼합될 수 있다.
열적으로 불안정한 분배 및 비 열적으로 불안정한 분배 화합물의 조합물은 막 특성을 조절하기 위해서 상호-증착(co-deposited)될 수 있다. 상호-증착 화합물의 바람직한 실시예는 메틸시릴-1,4-다이옥시닐 에테르 또는 2-메틸실록사닐 퓨란으로부터 선택되는 열적으로 불안정한 분배 화합물 및 2,4,6-트리실록산(2,4,6-트리실라테트라하이드로피란) 또는 시클로-1,3,5,7-테트라실라노-2,6-다이옥시-4,8-디메틸렌으로부터 선택되는 비 열적으로 불안정한 분배 화합물을 포함한다.
유리하게 이용될 수 있는, 상호-증착된 헤테로알리시클릭 비 열적으로 불안정한 분배 분자들은 링 스트레인(ring strain)을 갖는 비 평면 고리 분자이며 임의의 방향으로 증착된다. 2,4,6-트리실록산 및 시클로-1,3,5,7-테트라실라노-2,6-다 이옥시-4,8-디메틸렌에 있어서, 메틸렌 그룹에 대한 시릴 기능 그룹의 이중 결합은 최종 막의 개선된 열 안정성 및 보다 낳은 기계식 특성을 제공할 수 있다. 비 평면 분자는 증착된 막 내에 상대적으로 감소한 적층 밀도를 제공할 수 있으며, 따라서 저 유전체 막을 생성한다.
실리콘/산소 함유 재료가 막으로서 증착된 후에, 막은 바람직하게, 닫힌 기포 발포 구조물에 특성인 저 유전체 상수를 갖는 나노-다공성 실리콘 산화물 층 내의 가스 포켓을 분산시키기 위해서 불안정한 유기 그룹을 전환시키도록 점진적으로 증가하는 온도에서 어닐링된다.
바람직한 실시예에서, 본 발명의 나노-다공성 실리콘 산화물 층은 PECVD 실리콘 산화물, 실리콘 질화물, 실리콘 옥시질화물, 또는 하나 이상의 반응 실리콘 함유 화합물의 플라즈마 강화 반응에 의해 패턴화된 금속 층 상에 증착되는 수소화 실리콘 카바이드(예를 들어, 캘리포니아, 산타 클라라(Santa Clara, California)에 소재하는 어플라이드 머티어리얼즈 사(Applied Materials Inc.)로부터 상용화된 등록상표 블록(BLOCK™) 층 재료) 배리어 층 상에 증착된다. 나노 다공성 실리콘 산화물 층은 RF 전력 또는 원격 마이크로파 전력을 가하면서 동일한 멀티챔버 집단 CVD 시스템 내에 증착되며, 선택적으로 약 350 ℃ 내지 약 400 ℃ 범위에서, 증가하는 온도 프로파일을 이용하여 그 후에 가열된다. 나노 다공성 실리콘 산화물 층은 배리어 층, 예를 들어 수소화 실리콘 카바이드(등록상표 블록)로 증착하는데 이용되는 인접한 클러스터 툴 처리 챔버 내에 또는 동일한 챔버 내에 선택적으로 캡핑된다. 라이너 및 캡핑 층은 나노-다공성 실리콘 산화물 층을 보호하는 배리어 역할을 한다.
상승한 온도에서 다음의 경화 또는 소수성 분배 화학물질 경화를 한 다공성 실리콘 산화물 층의 처리는 증착된 막의 내습성을 개선시킨다. 이용되는 화학물질은 바람직하게, 헥사메틸디실라제인, 트리메틸시릴디에틸라민, 페닐디메틸시릴디메틸라민, 트리메톡시릴디-메틸라민, 트리스(트리플루오로메틸)시릴디메틸라민, 비스(트리메틸-시릴)하이드라진, 1-페닐디메틸시릴-2-메틸-하이드라진, 1-트리메톡시릴-2-메틸-하이드라진, 1-트리(트리플루오로메틸시릴)-2-메틸-하이드라진, 트리메틸클로로실란, 트리메틸브로모실란, 트리메틸실란, 또는 이들의 조합물로 구성되는 그룹으로부터 선택된다.
라이너 및 캡 층은 실리콘 산화물, 실리콘 질화물, 실리콘 옥시질화물, 또는 수소화 실리콘 카바이드(등록상표 블록)의 플라즈마 강화 화학 기상 증착(CVD)에 의해 증착될 수 있다.
본 발명의 추가의 설명은 본 발명의 나노-다공성 실리콘 산화물 층을 증착하는 특정 장치를 지향할 것이다.
예시적 CVD 플라즈마 반응기
하나의 적합한 CVD 플라즈마 반응기 내에서, 실행될 수 있는 본 발명의 방법이 고진공 영역(115)을 갖는 평행의 편평한 화학적 기상 증착 반응기(110)의 수직 횡단면도로, 도 2에 도시되며, 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼즈사로부터 이용가능한 "DLK" 챔버이다. 반응기(110)는 리프트 모터(114)에 의해 상승 또는 하강하는 서셉터(112) 또는 기판 지지 플레이트 상에 놓인 기판 또 는 기판(도시되지 않음)에 다기관 내의 다공 홀을 통해 공정 가스를 분배하기 위한 가스 분배 다기관(111)을 포함한다. 통상적으로, TEOS의 액체 주입을 위해 이용되는 바와 같은, 액체 주입 시스템(도시되지 않음)은 액체 반응물을 주입하기 위해 제공될 수도 있다. 바람직한 액체 주입 시스템은 어플라이드 머티어리얼즈사로부터 모두 이용가능한, AMAT 가스 정밀 액체 주입 시스템(GPLIS) 및 AMAT 확장된 정밀 액체 주입 시스템(EPLIS)을 포함한다.
반응기(110)는 저항 가열식 코일(도시되지 않음) 또는 외부 램프(도시되지 않음)에 의해서와 같이, 공정 가스 및 기판의 가열을 수반한다. 도 2와 관련하여, 서셉터(112)는 지지 스템(113) 상에 장착되어 서셉터(112)(및 서셉터(112)의 상부면 상에 지지되는 기판)은 다기관(111)에 밀접하게 인접하는 상부 처리 위치와 하부 로딩/오프 로딩 위치 사이에서 제어가능하게 이동될 수 있다.
서셉터(112) 및 기판이 처리 위치(114) 내에 있는 경우에, 이들은 절연체(117)에 의해 에워싸이며 공정 가스는 다기관(124) 내측으로 배출된다. 특정 DLK 디자인은 도 2와 관련하여 기재되고 도시되며, 기판은 웨이퍼의 에지와 포켓 벽 사이의 약 2 mm의 틈을 허용하도록 크기가 정해지며, 서셉터의 상부 표면 내의 포켓(도시되지 않음) 내에 설치될 수 있다.
처리 중에, 다기관(111)에 가스 입구는 기판의 표면에 걸쳐서 방사상으로 균일하게 분포된다. 드로틀 밸브를 구비한 진공 펌프(132)는 챔버로부터 가스의 배기율을 제어한다.
다기관(111)에 도달하기 전에, 증착 및 캐리어 가스는 이들이 혼합되어 다기 관(111)으로 보내지는 경우에 혼합 시스템(119) 내측으로 가스 라인(118)을 통해 제공된다. 어플리케이터 관(applicator tube; 120)을 구비한 선택적 마이크로파 시스템(150)(도 3에 도시됨)은 반응기(110)에 유입되기 이전에 산화 가스만을 분리하는 부가적인 에너지를 제공하도록 산화 가스를 위한 투입 가스 라인 상에 위치될 수 있다. 마이크로파 어플리케이터는 약 0 내지 약 6000 W 범위의 전력을 제공한다. 일반적으로, 각각의 공정 가스를 위한 공정 가스 공급 라인(18)은 (ⅰ)챔버 내측으로의 공정 가스의 유동을 자동 또는 수동으로 차단하는데 이용될 수 있는 안전 차단 밸브(도시되지 않음), 및 (ⅱ) 가스 공급 라인을 통한 가스 유동을 측정하는 질량 유동 제어기(또한 도시되지 않음)를 포함한다. 유독 가스가 공정에 이용되는 경우에, 몇몇의 안전 차단 밸브는 통상적인 구성으로 각각의 가스 공급 라인 상에 위치된다.
반응기(110) 내에서 실행되는 증착 공정은 냉각된 기판 받침대 상에서의 비-플라즈마 공정 또는 플라즈마 강화 공정일 수 있다. 플라즈마 공정에서, 제어된 플라즈마는 통상적으로, (접지되는 서셉터(112)를 구비한) RF 전력 공급원(125)으로부터 분배 다기관(111)에 인가되는 RF 에너지에 의해 기판에 인접하여 형성된다. 이와 달리, RF 전력은 서셉터(112)에 제공될 수 있으며 또는 RF 전력은 여러가지 주파수에서 여러 부품에 제공될 수 있다. RF 전력 공급원(125)은 고진공 영역(115)으로 도입되는 반응 종의 분해를 강화하기 위해서 단일 또는 혼합된 주파수 RF 전력을 공급할 수 있다. 혼합된 주파수 RF 전력 공급원은 통상적으로, 분배 다기관(111)에 약 13.56 MHz의 RF 고주파수(RF1)로, 그리고 서셉터(112)에 약 360 KHz의 RF 저주파수(RF2)로 전력을 공급한다. 본 발명의 실리콘 산화물 층은 고주파수 RF 전력의 낮은 레벨 또는 펄스화된 레벨을 이용하여 대부분 바람직하게 생성된다. 펄스화된 RF 전력은 바람직하게, 듀티 사이클의 약 10 % 내지 약 30 % 중에 약 20 내지 약 200 W에서 13.56 MHz RF 전력을 제공한다. 비-펄스화된 RF 전력은 바람직하게, 이후에 보다 상세하게 기재되는 바와 같이, 약 10 내지 약 150 W에서 13.56 MHz RF 전력을 제공한다. 보다 낮은 전력 증착은 바람직하게 약 -20 내지 약 40 ℃ 범위의 온도에서 발생한다. 바람직한 온도 범위에서, 증착 막은 증착 중에 부분적으로 중합되며 중합반응은 막의 후속적인 경화 중에 완성된다.
산화 가스의 부가적인 분리가 바람직한 경우에, 선택적 마이크로파 챔버는 증착 챔버에 유입되기 이전에 산화 가스에 약 0 내지 약 3000 W의 마이크로파 전력을 제공하는데 이용될 수 있다. 마이크로파 전력의 개개의 부가는 산화 가스와 반응하기 이전에 실리콘 화합물의 과도한 분해를 방지한다. 실리콘 화합물 및 산화 가스를 위한 개개의 통로를 구비한 가스 분배 판은 마이크로파 전력이 산화 가스에 부가되는 경우에 바람직하다.
통상적으로, 임의의 또는 모든 챔버 라이닝(chamber lining), 가스 입구 다기관 면판, 지지 스템(113), 및 다양한 다른 반응기 하드웨어는 알루미늄 또는 양극처리된 알루미늄과 같은 재료로 제조된다. 이러한 CVD 반응기의 예는 본 발명의 양수인, 어플라이드 머티어리얼즈사로 양도되며, 왕(Wang) 등에 의해 허여된 "열 CVD/PECVD 반응기 및 실리콘 이산화물의 열 화학 기상 증착 및 다단계 평탄화 공정"의 명칭으로 미국 특허 5,000,113 호에 기재되어 있다.
리프트 모터(114)는 처리 위치와 하강 위치, 기판 로딩 위치 사이에서 서셉터(112)를 상승 및 하강시킨다. 모터, 가스 혼합 시스템(119), 및 RF 전력 공급원(125)은 제어 라인(136) 위에서 시스템 제어기(134)에 의해 제어된다. 반응기는 질량 유동 제어기(MFCs) 및 표준 또는 펄스화된 RF 발전기와 같은 아날로그 조립체를 포함하며, 이는 바람직한 실시예에서, 하드 디스크 드라이브인, 메모리(210) 내에 저장된 시스템 제어 소프트웨어를 실행하는 시스템 제어기(134)에 의해 제어된다. 모터 및 선택적 센서는 서셉터(112)를 위치설정하기 위한 모터 및 진공 펌프(132)의 드로틀 밸브와 같은 이동가능한 기계식 조립체의 위치를 결정하며 이동시키는데 이용된다.
시스템 제어기(134)는 CVD 반응기의 모든 활동을 제어하며, 바람직한 실시예의 제어기(134)는 하드 디스크 드라이브, 플로피 디스크 드라이브, 및 카드 랙을 포함한다. 카드 랙은 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입/출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어 보드를 포함한다. 시스템 제어기는 보드, 카드 케이지(card cage), 및 커넥터 치수 및 형태를 결정하는 벌사 모듈러 유러피언(versa Modular European; VME) 기준에 따른다. VME 기준은 또한, 16-비트 데이터 버스 및 24-비트 어드레스 버스를 갖는 버스 구조물을 형성한다.
도 3은 본 발명의 실시예에 따라서, DLK 반응기(110)에 유입되기 이전에 물과 같은 공정 가스를 분해하기 위한 원격 마이크로파 시스템(150)의 개략적 도면이다. 원격 마이크로파 시스템(150)은 어플리케이터 관(120), 자외선(UV) 램프(154) 및 UV 전력 공급원(155)을 포함하는 플라즈마 점화 시스템, 연결부(157)에 의해 서 로 연결될 수 있는 다양한 길이의 직선 및 곡선형 도파관부(156), 도파관 커플링(158), 출력 도파관부(160)를 포함하는 마이크로파 도파관 시스템, 및 마그네트론(168)을 포함한다. 도파관부(156)는 아암 베이스(166) 상에 장착되는 피벗식 아암(164)에 부착을 위해 내부에 형성되는 아암 지지부(162)를 더 포함할 수 있다. 피벗식 아암은 아암 연결부(163) 주위에 아암(164)의 회전 이동을 허용하며 아암 피스의 수직 분리를 제공하는 아암 연결부(163)에 연결되는 아암 피스(165)를 포함한다. 아암 연결부(163)는 아암 연결부(163)의 바닥에서 하나의 아암 피스(165)에 연결되며, 아암 연결부(165)의 상부에서 제 2 아암 피스(165)에 연결되는 수직으로 배치된 실린더이다. 아암 연결부(163)의 단부에서 아암 피스(165)의 부착은 처리 반응기(110)의 작동 및 유지 중에 아암 피스의 수직 분리 및 아암(164), 마이크로파 시스템(150)의 위치 유연성을 허용한다.
마그네트론(168)은 약 2.45 기가헤르츠(GHz) 주파수의 펄스화된 출력 마이크로파 또는 연속파(CW)에 있어서 약 0 내지 3000 와트 범위에서 작동할 수 있는 통상적인 마그네트론 소오스이다. 물론, 다른 마그네트론 또한 이용될 수 있다. 순환기(도시되지 않음)는 마그네트론(168)으로부터 어플리케이터 관(120)을 향해 전방 마이크로파 전달을 허용한다. 스터브 터너 또는 다른 터닝 부재를 이용할 수 있는 터닝 시스템(170)은 도파관의 특징 임피던스에 도파관부(160)에서 하중을 맞추는 역량을 갖춘 마이크로파 시스템(150)을 제공한다. 터닝 시스템(170)은 특정 실시예에 따라서 고정된 터닝, 수동 터닝 또는 자동화된 터닝을 제공할 수 있다. 특정 실시예에서, 도파관부는 직사각형 단면을 갖지만, 도파관의 다른 형태가 이용 될 수도 있다.
어플리케이터 관(120)은 복합체 또는 세라믹 재료, 바람직하게는 알루미나, 또는 라디칼에 의한 내식성 다른 재료로 구성되는 원형(또는 다른 단면) 관이다. 특정 실시예에서, 어플리케이터 관(120)은 약 18 내지 24 인치의 길이 및 약 3 내지 4 인치의 단면 직경을 갖는다. 어플리케이터 관(120)은 마이크로파를 전달하기 위해서 한 단부에서 개방되며, 금속 벽을 갖춘 다른 단부에서 종결되며 도파관부(160)를 통해 배치된다. 마이크로파는 도파관부(160)의 개방 단부를 통해 가스를 공급하도록 마이크로파를 통하는 어플리케이터 관(120) 내부에 전달된다. 물론, 사파이어와 같은 다른 재료는 어플리케이터 관(120)의 내부를 위해 이용될 수도 있다. 다른 실시예에서, 어플리케이터 관(120)은 복합체 또는 세라믹 재료로 구성된 금속 외부 및 내부를 가질 수 있으며, 도파관부(160) 내의 마이크로파는 가스를 활성화시키기 위해서 관(120)의 노출된 내부에 어플리케이터 관(120)의 외부를 통해 윈도우에 유입된다.
전술된 방법은 도 2에 도시된 제어기(134)와 같은 프로세서계 시스템 제어기에 의해 제어되는 시스템 내에서 실행될 수 있다. 도 4는 이러한 용량에 이용될 수 있는 이러한 시스템 제어기(134)를 구비하며 도 2에 도시된 바와 같이, 처리 시스템 또는 반응기(110)의 블록선도이다. 시스템 제어기(134)는 메모리(210), 대량 저장 장치(215), 입력 제어 유닛(245), 및 디스플레이 유닛(255)을 갖추어 작동할 수 있는 프로그램 가능 중앙 처리 유닛(CPU)(220)을 포함한다. 시스템 제어기는 증착 공정의 제어를 용이하게 하도록 DLK 공정 반응기(110)의 다양한 부품에 연결 되는, 전력 공급원, 시계(225), 캐시(235), 입/출력(I/O) 회로(240) 등과 같은 잘 알려진 지지 회로(214)를 더 포함한다. 제어기(134)는 챔버(110) 내에 센서(도시되지 않음)를 통해 기판 처리를 모니터링하기 위한 하드웨어를 또한 포함한다. 이러한 센서는 기판 온도, 챔버 대기 압력 등과 같은 시스템 매개변수를 측정한다. 전술된 모든 부재는 제어 시스템 버스(230)에 연결된다.
전술된 바와 같이 챔버의 제어를 용이하게 하기 위해서, CPU(220)는 다양한 챔버 및 서브프로세서를 제어하기 위한 산업 환경에 이용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태 중 하나 일 수 있다. 메모리(210)는 CPU(220)에 연결되며, 시스템 버스(230)에 액세스 가능하다. 메모리(210), 또는 컴퓨터 판독 매체(215)는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크 드라이브, 하드 디스크, 또는 디지털 저장, 로컬 또는 원격 임의의 다른 형태와 같은 판독 가능한 메모리들 중 하나 이상일 수 있다. 지지 회로(214)는 통상적인 방식으로 프로세서를 지지하기 위한 CPU(220)에 연결된다. 증착 공정은 일반적으로 소프트웨어 루틴처럼, 메모리(210) 내에 저장된다. 소프트웨어 루틴은 CPU(220)에 의해 제어되는 하드웨어로부터 원격으로 위치되는 제 2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수도 있다.
메모리(210)는 CPU(220)가 처리 시스템(10)의 성능을 용이하게 하기 위해서 실행되는 명령을 포함한다. 메모리(210) 내의 명령은 본 발명의 방법을 실행하는 프로그램(200)과 같은 프로그램 코드의 형태이다. 프로그램 코드는 다수의 여러 프로그래밍 언어들 중 임의의 하나를 따를 수 있다. 예를 들어, 프로그램 코드는 C, C++, 베이직, 파스칼, 또는 다수의 다른 언어로 문자화될 수 있다.
대량 저장 장치(215)는 데이터를 저장하며, 명령은 마그네틱 디스크 또는 마그네틱 테이프와 같은, 프로세서 판독형 저장 매체로부터 프로그램 코드 명령 및 검색 데이터이다. 예를 들어, 대량 저장 장치(215)는 하드 디스크 드라이브, 플로피 디스크 드라이브, 테이프 드라이브, 또는 선택적 디스크 드라이브일 수 있다. 질량 저장 장치(215)는 CPU(220)로부터 수용하는 지시에 응답하는 명령을 저장하여 검색한다. 대량 저장 장치(215)에 의해 저장되고 검색되는 데이터 및 프로그램 코드 명령은 처리 시스템을 작동하기 위해 프로세서 유닛(220)에 의해 사용된다. 데이터 및 프로그램 코드 명령은 매체로부터 대량 저장 장치(215)에 의해 우선 검색되며, CPU(220)에 의해 사용하기 위한 메모리(210)에 전달된다.
입력 제어 유닛(245)은 챔버 오퍼레이터의 입력의 수용을 위해 제공하기 위해서 시스템 버스(230)를 통해 프로세서 유닛(220)에 키보드, 마우스, 또는 라이트 펜과 같은 데이터 입력 장치를 연결한다. 디스플레이 유닛(255)은 CPU(220)의 제어하에 그래픽 디스플레이 및 영숫자(alphanumeric) 특징의 형태로 챔버 오퍼레이터에 정보를 제공한다.
제어 시스템 버스(230)는 제어 시스템 버스(230)에 연결되는 모든 장치들 사이에 데이터 및 제어 신호를 전달하기 위해 대비한다. 제어 시스템 버스는 CPU(220) 내의 장치를 직접 연결하는 단일 버스로서 표시되며, 제어 시스템 버스(230)는 버스의 수집일 수도 있다. 예를 들어, 디스플레이 유닛(225), (입력 장치를 갖춘) 입력 제어 유닛, 및 대량 저장 장치(215)는 입력-출력 주변 버스에 연결 될 수 있지만, CPU(220) 및 메모리(210)는 로컬 프로세서 버스에 연결된다. 로컬 프로세서 버스 및 입력-출력 주변 버스는 제어 시스템 버스(230)를 형성하기 위해서 서로 연결된다.
시스템 제어기(134)는 시스템 버스(230) 및 I/O 회로(240)를 통해 본 발명에 따라 유전체 증착 공정에 사용되는, 처리 시스템(10)의 부재에 연결된다. I/O 회로(240)는 CPU(220) 및 시스템 버스(230)를 통해 메모리(210) 내에 저장되는 프로그램(200)으로부터 명령을 수용한다. 프로그램(200)은 I/O 회로(240)가 반응기(110)의 기판 위치설정 제어(250), 처리 가스 제어(260), 압력 제어(270), 히터 제어(280), 및 플라즈마/마이크로파 제어(290)를 제공할 수 있는 프로그램 서브루틴을 제공한다.
CPU(220)는 도 4의 흐름도에 도시된 본 발명의 방법의 실시예의 프로그램(200)과 같은 프로그램을 실행하는 경우에 특수 목적 컴퓨터인 범용 컴퓨터를 형성한다. 본 발명은 범용 컴퓨터를 실행하며 소프트웨어로 실행되는 바와 같이 기재되어 있지만 당업자들은 본 발명이 특정 집적 회로(ASIC) 또는 다른 하드웨어 회로와 같은 하드웨어를 이용하여 실행될 수 있는 점을 알고 있다. 이와 같이, 본 발명은 소프트웨어, 하드웨어 또는 이둘 모두에서 전체 또는 부분적으로 실행될 수 있다.
전술된 CVD 시스템 설명은 전극 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 유도-연결된 RF 고밀도 플라즈마 CVD 장치, 또는 등과 같은 다른 플라즈마 CVD 장치가 사용될 수 있으며, 주로 설명의 목적을 위해서이다. 부가적으로, 서셉터 디 자인, 히터 디자인, RF 전력 연결의 위치 및 그 밖의 변형과 같은 전술된 시스템의 변형이 가능할 수 있다. 예를 들어, 기판은 저항성있게 가열된 서셉터에 의해 지지되고 가열될 수 있다. 본 발명의 예비처리된 층을 형성하기 위한 예비 처리 및 방법은 임의의 특정 장치 또는 플라즈마 여기 방법에 제한되는 것은 아니다. 다른 장치들의 이용은 이 후에 상세히 기재된다.
나노 다공성 실리콘 산화물 층의 증착
본 발명의 나노-다공성 실리콘 산화물 층은 도 2의 PECVD 또는 마이크로파 챔버를 이용하여 도 5에 도시된 바와 같은 네 개의 층 공정으로 증착될 수 있다. 도 5와 관련하여, 기판은 반응기(110) 내에 위치되며(300), 라이너/배리어 층은 반응성 실리콘 함유 화합물을 포함하는 플라즈마로부터 PECVD 공정에 의해 증착된다(305). 증착 단계(305)는 당업계에 공지된 방법에 따라서 공정 챔버(15) 내에 유도 결합 및 전기 용량 결합 플라즈마 모두 또는 전기 용량 결합 플라즈마를 포함할 수 있다. 플라즈마는 He, Ar, 및 N2과 같은 불활성 가스를 이용하여 발생할 수 있다. 헬륨과 같은 불활성 가스는 플라즈마 발생을 돕기 위해서 PECVD 증착에 일반적으로 이용된다.
다음, 단계(307)에서, 부착 층은 라이너/배리어 층 위에 형성된다. 부착 층은 상부 다공성 저 유전체 상수 (k) 막보다 탄소 함량이 적으며, 실리콘 산화물 함량이 풍부한 탄소 함유 실리콘 산화물 층을 포함한다. 이러한 공정 중에 산소의 유동은 부착 층 내의 탄소 함량의 비율에 영향을 미칠 수 있다. 보다 높은 산소 유동은 부착 층 내 보다 적은 탄소 함량을 야기할 수 있지만 보다 적은 산소 유동은 부착 층 내 보다 많은 탄소를 야기할 수 있다. 게다가, 높은 RF 전력은 탄소 산화로 인해 막으로의 보다 적은 탄소 도입을 야기하는 방식으로 탄소 함유 종 반응을 일으키도록 이러한 단계에 이용될 수 있다. 이후에 기재되는 바와 같이, 이러한 부착 층은 단독으로 또는 조합으로 이용되는 다수의 여러 기술을 이용하여 형성될 수 있다. 도 5에 도시된 바와 같이, 몇몇의 이러한 기술은 나노 다공성 층의 증착 후에 부착 층을 형성할 수 있다.
본 발명의 나노 다공성 층은 불안정한 유기 그룹을 더 포함하는 실리콘/산소 함유 재료를 증착함으로써 부착 층 상에 증착된다(310).
다음, 단계(311)에서, 증착된 실리콘/산소 함유 재료의 제어된 어닐링은 층 내에 균일하게 분산되는 초소형 가스 포켓을 형성한다. 몇몇의 실시예에서, 이러한 어닐링 단계는 열 에너지의 적용의 형성을 취할 수 있다.
본 발명의 다른 양상에서, 증착되는 층은 전자 빔(e-빔) 기술에 의해 경화될 수 있다. e-빔 처리는 동일한 처리 시스템 내 제자리에서 수행될 수 있으며, 예를 들어, 진공에서의 중단 없이 한 챔버에서 다른 챔버로 전달된다. 본 발명의 목적을 위해 참조되며 도입된 다음의 미국 특허: 미국 특허 제 5,003,178, 미국 특허 제 5,468,595, 미국 특허 제 6,132,814, 미국 특허 제 6,204,201, 미국 특허 제 6,207,555, 미국 특허 제 6,271,146, 미국 특허 제 6,319,655, 미국 특허 제 6,407,399, 미국 특허 제 6,150,070, 미국 특허 제 6,218,090, 미국 특허 제 6,195,246, 미국 특허 제 6,218,090, 미국 특허 제 6,426,127, 미국 특허 제 6,340,556, 미국 특허 제 6,319,555, 미국 특허 제 6,358,670, 및 미국 특허 제 6,255,035 호에 본 발명에 따라 형성되는 나노 다공성 저 유전체 상수 (k) 층의 전자 빔 경화를 위해 이용될 수 있는 다양한 장치 및 공정을 기재하고 있다.
e-빔 처리는 약 0.5 키로일렉트론 볼트(KeV) 내지 약 30 KeV, 예를 들어, 4 KeV와 같은 약 2 KeV 내지 약 10 KeV의 에너지 범위에서, 10 마이크로 쿨롱/제곱 센티미터(μC/㎠) 내지 1000 μC/㎠, 예를 들어 약 800 μC/㎠의 선량에 적용 또는 노출로 구성된다. 선량은 변할 수 있다. 예를 들어, 약 10 μC/㎠ 내지 약 1000 μC/㎠ 범위의 선량은 200 mm 내지 300 mm 기판 상에 형성되는 층의 경화를 야기하도록 관찰된다.
전자 빔은 일반적으로, 질소, 헬륨, 아르곤, 크세논을 포함하는 불활성 가스, 산소를 포함하는 산화 가스, 수소, 수소와 질소의 혼합물, 암모니아, 또는 이들 가스의 임의의 조합물을 포함하는 환훤 가스를 포함하는 가스 분위기에서 약 1mTorr 내지 약 100 mTorr 범위의 압력에서 발생한다. 이러한 전자 빔 전류는 약 1 mA 내지 약 40 mA, 보다 바람직하게는 약 2 mA 내지 약 20 mA 범위를 갖는다. 전자 빔은 약 4 제곱 인치 내지 약 700 제곱 인치의 영역을 덮을 수 있다. e-빔 공정 장치는 약 25 ℃ 내지 약 450 ℃, 예를 들어, 약 400 ℃ 범위로 작동한다.
임의의 e-빔 장치가 이용될 수 있지만, 하나의 예시적 장치는 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼즈사로부터 이용가능한, EBK 챔버이다. E 빔 처리는 본 발명의 모든 목적을 위해 참조되며 도입되며, 2002년 11월 22일 출원된 "전자 빔에 의해 저 유전체 상수 막을 경화시키는 방법(Method For Curing Low Dielectric Constant Film By Electron Beam)"의 명칭으로 미국 특허 출원 제 10/302,375(AMAT 7625)에 보다 완전히 기재되어 있다.
다음, 캡 층은 층 상에 증착되며(315), 바람직하게 라이닝 층을 증착하기 위해 이용되는 바와 같은 유사한 공정을 이용한다. 기판은 반응기(110)로부터 이동된다(320).
도 6a 내지 도 6f와 관련하여, 네 개의 층 공정은 PECVD 라이닝/배리어 층(400)을 제공한다. 라이닝/배리어 층(400)은 후속적인 나노 다공성 층(402)과 하부 기판 표면(404), 기판 표면 상에 형성되는 금속 라인(406, 408, 410) 사이에 격리 층으로서 작용한다.
부착 층(407)은 라이너/배리어 층(400) 위에 형성된다. 이러한 부착 층은 후속적으로 형성될 상수 나노 다공성 저 유전체 상수 (k) 층보다, 탄소 함량이 적으며, 실리콘 산화물 함량이 풍부하다. 저 유전체 상수 (k) 부착 층의 형성의 상세한 설명은 이후에 기재된다.
나노 다공성 층(402)은 실리콘 함유 화합물의 PECVD 캡핑 층(412)에 의해 캡핑된다. 이러한 공정은 CVD 반응기(110)에 있어서 컴퓨터 제어기(134)의 메모리(220) 내에 저장되는 컴퓨터 프로그램을 이용하여 실행되고 제어된다.
도 6a와 관련하여, PECVD 라이닝/배리어 층(400)은 반응성 실리콘 함유 화합물 및 산화 가스를 도입시킴으로써 반응기(110) 내에 증착된다. 공정 가스는 기판 표면(404) 및 금속 라인(406, 408, 410) 상에 공형 실리콘 산화물 층(400)을 형성하기 위해서 플라즈마 강화 분위기에서 반응한다.
도 6b와 관련하여, 부착 층(407)은 라이너/배리어 층(400) 위에 형성될 수 있다. 부착 층의 형성은 이후에 상세히 기재된다.
도 6c와 관련하여, 나노 다공성 층(402)은 실리콘 및 불안정한 함유 화합물로 구성되는 처리 가스 및 산화 가스로부터 증착된다. 처리 가스는 실리콘 및 불안정한 함유 화합물에 있어서 약 20 내지 약 1000 sccm의 범위, 산화 가스에 있어서 약 5 내지 약 4000 sccm의 범위로 유동한다. 바람직한 가스는 실리콘 및 불안정한 함유 화합물에 있어서 약 50 내지 약 500 sccm의 범위, 산화 가스에 있어서 약 5 내지 약 2000 sccm의 범위로 유동한다. 유동률은 약 5.5 내지 6.5 리터의 부피를 구비한 챔버에 주어진다. 바람직하게, 반응기(110)는 나노 다공성 층(402)의 증착 중에 약 0.2 토르 내지 약 5 토르의 압력으로 유지된다.
나노 다공성 층(402)은 도 6e에 도시된 바와 같은 캡 층(412)의 증착 이전에 휘발성 성분을 제거하기 위해서 도 6d에 도시된 바와 같이 경화된다. 경화는 점진적으로 높은 온도로 기판을 가열하면서 불활성 가스 분위기 하에서 반응기(110) 내에서 수행될 수 있다.
나노 다공성 층(402)은 분산되는 초소형 버블과 같은 가스 생성물을 유지하며, 그리고/또는 선택적 불안정한 유기 그룹을 바람직하게 닫힌 셀 구조물 내의 공극과 같이 경화된 실리콘 산화물 막 내에 유지되는 초소형 가스 버블로 전환하기 위해서 점진적으로 증가하는 온도에서 어닐링될 수 있다. 하나의 특정 어닐 공정은 약 5분의 가열 시간 주기로 구성되며, 약 350 ℃ 내지 약 400 ℃ 범위의 최종 온도로 약 50 ℃/분으로 온도를 점진적으로 상승시키는 단계를 포함한다. 가스 버 블의 분산은 온도/시간 프로파일을 변화시키며 증착된 막 내의 불안정한 유기 그룹의 농도를 제어함으로써 제어될 수 있다.
이와 달리, 또는 열 어닐과 관련하여, 나노 다공성 층(402)은 특정 에너지 및 선량의 전자 빔 조사에 노출됨으로써 어닐링될 수 있다. 이후에 더 상세히 기재되는 바와 같이, 특정 상황에서, e빔 어닐링은 라이너/배리어 층과 상부 다공성 저 유전체 상수 (k) 층 사이의 경계면을 따라서 산화물 부착 층의 형성을 야기할 수 있다.
도 6e와 관련하여, 반응기(110)는 바람직하게 동일한 재료의 캡핑 층(412)을 증착하며, PECVD 라이너 층(400)의 증착을 위해 이용되는 동일한 방법에 의해 증착된다. 도 6f와 관련하여, 캡핑 층(412)의 증착 후에, 증착된 층은 물과 같은 남아있는 휘발성 생성물을 몰아내기 위해서 약 200 ℃ 내지 약 450 ℃ 온도의 범위에서 노 또는 다른 챔버에서 추가로 어닐링된다. 물론 처리 환경은 증착되는 막의 바람직한 특성에 따라서 변할 수 있다.
부착 층의 형성
전술된 바와 같이, 저 유전체 상수 (k) 층을 형성하는 방법은 열적으로 불안정한 그룹을 제거하며 내부에 나노 다공을 생성시키기 위해서 후속적으로 어닐링되는 재료를 증착시키는 단계를 수반한다. 통상적으로, 이러한 나노 다공성 저 유전체 상수 (k) 층은 10% 미만의 탄소 함량을 갖는 실리콘 산화물을 포함하며, 통상적으로 30 % 또는 그보다 많은 탄소 함량을 갖는 실리콘 카바이드를 포함하는 라이너/배리어 층 위에 증착된다. 나노 다공성 저 유전체 상수 (k) 층 대 하부 배리어/ 라이너 재료의 조성 및 구조물에서의 실질적인 차이는 이들 사이의 부착을 방해할 수 있다. 이들 층 사이의 부착을 개선하기 위해서, 저 유전체 상수 (k) 증착 단계 이전에 막 또는 기판을 가열하는 개개의 단계가 실행될 수도 있다.
따라서, 본 발명의 실시예는 부착을 촉진시키기 위해서 이들 사이의 개개의 실리콘 산화물 층의 형성을 제안한다. 본 발명에 따른 이러한 실리콘 산화물 부착 층은 상부 저 유전체 상수 (k) 막보다 탄소 함량이 적으며 실리콘 산화물 함량이 풍부하며, 단독 또는 여러가지 조합으로 이용되는 다수의 여러가지 기술들 중 임의의 하나를 이용하여 형성될 수 있다.
특정 실시예에 따라서, 부착 층은 나노 다공성 재료를 형성하기 위해서 이후 어닐링되는 상부 저 유전체 상수 (k) 층의 증착 이전에 형성될 수 있다. 하나의 특정 실시예에서, 부착 층은 저 유전체 상수 (k) 증착 단계 이전에 즉시 풍부한 산화 가스의 도입을 통해 형성될 수 있다. 부착 층을 형성하기 위해서 유동할 수 있는 풍부한 산화 가스의 예는 제한되는 것은 아니지만, 분자 산소(O2), 탄소 이산화물(CO2), 오존(O3), 과산화수소(H2O2), 일산화 질소(N2O), 및 이들의 혼합물을 포함한다. 풍부한 산화 가스의 유동을 갖는 라이너/배리어 층의 이러한 예비 처리는 Si 전구체의 산화를 야기하여, 상부 나노 다공성 저 유전체 상수 (k) 재료의 형성 이전에 실리콘 산화물 부착 층을 생성시킨다.
본 발명의 실시예에 따른 부착 층의 형성을 조사하기 위해서, 막 적층은 아래 표 1에 정리된 조성물을 구비하여 제공된다.
층 # 층 조성 층 두께(Å)
6 등록상표 블록 배리어/라이너 2000
5 SiN 500
4 구리 시이드 2000
3 TaN 250(조합)
2 Ta
1 실리콘 산화물 유전체 4500
0 기판 300 mm 직경
이러한 막 적층에 유리한 부착을 나타내는 나노 다공성 저 유전체 상수 (k) 층을 형성하기 위해서, 공정 매개변수는 아래 표 2에 요약되는 바와 같이 변형될 수 있으며, 저 유전체 상수 (k) 재료를 형성하는 실리콘 함유 성분은 디에톡시메틸실란이며 그리고 저 유전체 상수 (k) 재료를 형성하는 비 실리콘 함유 성분은 알파 테르피넨이다.
매개변수 공정 단계
안정화 부착 층 개시 저 유전체 상수 (k) 증착
주기(초) 20 4 52
샤워헤드대 면판 간격(밀) 500 500 300
고주파수 RF 전력(W) 0 300 670
디에톡시메틸실란 유동률(g/분) 0.4 0.4 1.2
알파-테르피넨 유동률(g/분) 0 0 3.3
알파-테르피넨 He 캐리어 가스 유동률 (sccm) 4000 4000 2000
표 2에 정리된 각각의 공정 단계는 225 ℃의 가열기 온도, 8 토르의 압력, 1000 sccm의 디에톡시메틸실란의 He 캐리어 가스 유동률, 및 200 sccm의 산소 가스 유동률에서 저 주파수 RF 전력의 적용 없이 어플라이드 머티어리얼즈 프로듀서 DxZ에서 수행될 수 있다.
표 2에 도시된 특정 공정 유동에서, 알파 테르피넨 유동은 최종 실리콘 산화물 부착 층 내의 탄소의 농도를 감소시키기 위해서 초기화 중에 중지된다. 샤워헤드와 면판 사이의 간격은 증착률을 향상시키기 저 유전체 상수 (k) 재료의 증착을 위해 감소한다. 저 유전체 상수 (k) 재료의 증착을 위한, 샤워헤드와 면판의 감소한 간격은 과열된 기판을 야기하며, 이는 기판이 면판에 밀접하게 위치되기 때문이다. 게다가, 보다 밀접한 간격은 탄소가 풍부한 막에 대립하여 실리콘 산화물 형성에 순조로운 증가된 플라즈마 밀도를 야기한다. 증가된 프라즈마 밀도는 보다 높은 전력 RF 에너지의 이용과 유사하다.
에즈 증착되는(As deposited), 저 유전체 상수 (k) 재료는 2812 Å의 두께를 나타낸다. 에즈 증착되는 저 유전체 상수 (k) 재료는 약 1970 Å의 두께로 감소되는, 경화를 위한 전자 빔 조사에 노출된다. 전자 빔 경화는 400 ℃의 온도에서 수행되며, 3 KeV, 1.5 mA, 및 150 μC/㎠의 선량을 이용한다.
e 빔 경화 노출 후에, 증착되는 저 유전체 상수 (k) 층으로 표 1의 막 적층을 베어링하는 기판과 관련하여, 약 4.3 J/㎡의 기가사이클(Gc)력의 적용은 하부 등록상표 블록으로부터 저 유전체 상수 (k) 층의 분리를 야기한다. 본 발명에 따른 산화물 부착 층을 베어링하지는 않는 동일한 상황에 노출되는 기판에 있어서, 약 5.5 J/㎡의 과도한 기가사이클력의 적용은 하부 질화물로부터의 블록, 또는 하부 구리로부터의 질화물의 분리를 야기한다,
도 9는 본 발명의 실시예에 따른 산화물 부착 층을 포함하는 막 적층의 다양한 퓨리에 전환 적외선(FTIR) 스펙트라를 도시하고 있다. 도 9는 상부 저 유전체 상수 (k) 층의 증착 후에 부착 층의 존재를 나타내며, e 빔 경화 처리 단계를 수반하기도 한다. 도 9의 스펙트라에 의해 지시된 바와 같이, 부착 층은 실리콘 및 산소, 상대적으로 적은 탄소 및 수소를 포함한다.
전술된 예는 본 발명에 따른 산화물 부착 층을 형성하는 공정 유동의 일 특정 실시예를 나타낸다. 다른 공정 매개변수, 및 이러한 매개변수의 값이 이용될 수 있다. 이러한 공정 매개변수는 화학 기상 증착(CVD)에 있어서 다른 유동 공정의 방식으로 이러한 공정을 최적화하기 위해서 변경될 수 있다. 임의의 매개변수는 최종 부착 층의 두께, 균일성, 또는 다른 특성을 최적화하기 위해서 변경될 수 있다.
본 발명의 다른 실시예에서, 산화물 부착 층은 저 유전체 상수 (k) 재료의 증착 이전에 플라즈마로 라이너/배리어의 예비 처리를 통해 형성될 수 있다. 이러한 플라즈마 예비 처리는 라이너/배리어의 표면에서 가열을 향상시켜, 실리콘 산화물 층을 형성하기 위해서 라이너/배리어 층 상에 Si 전구체의 반응을 촉진시킨다. 특정 실시예에서, 플라즈마는 산화 분위기에서 형성될 수 있다.
본 발명에 따른 본 발명의 또 다른 실시예에서, 플라즈마 노출에 의한 가열은 개개의 단계 또는 후속적인 단계와 관련하여, 예를 들어, 저 유전체 상수 (k) 재료를 증착하는 초기 단계 중에 산화 가스의 도입에 의해 수반될 수 있다. 저 유전체 상수 (k) 재료의 증착이 통상적으로 플라즈마 강화됨에 따라서, 본 발명에 따른 이러한 플라즈마 예비처리 단계는 통상적으로, 동일한 챔버 내에서 수행될 수 있으며 높을 수율을 야기한다. 게다가, 배리어/라이너 층은 플라즈마 강화 증착에 의해 형성될 수도 있어서, 플라즈마 예비처리 단계는 증착 단계 이전에 남아있는 플라즈마를 이용하여 동일한 챔버 내에서 수행될 수 있다.
전술된 임의의 예비처리 또는 예비 증착 단계에 있어서, RF 전력은 저 유전체 상수 (k) 증착에 예비처리/예비 증착으로부터의 전환 중에 연속으로 가해진다(즉, 상쇄 RF). 이는 예비처리/예비 증착 사이의 플라즈마 내의 임의의 중지 및 방해 때문이며, 증착은 최종 장치의 적합한 기능에 영향을 미치는 입자 발생의 위험을 증가시킬 수 있다. 게다가, 예비처리/예비 증착과 증착 사이의 중단은 증착 단계의 시작에서 존재할 수 있는 탄소가 풍부한 초기 층을 야기할 수 있다. 따라서, 전환 단계 중에 RF 전력의 연속적인 적용과 협력하여 전이 또는 예비 층 실리콘 산화물이 풍부한 막의 이용이 바람직할 수 있다.
도 6b 내지 도 6c는 본 발명에 의해 요구되지 않는, 나노 다공성 저 유전체 상수 (k) 층을 형성하기 위해서 후속적으로 어닐링되는 상부 유전체 재료의 증착 이전에 부착 층의 형성을 도시하고 있다. 본 발명의 대안적인 실시예에 따라서, 부착 층은 상부 저 유전체 상수 (k) 재료의 증착 다음에 형성될 수 있다.
본 발명의 이러한 일 실시예에 따라서, 부착 층은 라이너/배리어와 상부 저 유전체 상수 (k) 재료 사이의 경계면에서 탄소 종의 제거를 허용하도록 후속적인 어닐링 단계의 매개변수를 조절함으로써 저 유전체 상수 (k) 층의 증착 다음에 형성될 수 있다. 감손 탄소, 산화물이 풍부한 부착 층은 e 빔 조사 노출로 인해서, 알파 테르피넨, 시멘, 또는 다른 비 산소 함유 유기물과 같은 열적으로 불안정한 화학물질의 제거에 의해 형성될 수 있다. 한 접근에서, 전자 빔 조사가 증착된 막을 어닐링하기 위해 가해지는 경우에, 선량과 같은 매개변수 및 가해진 조사의 에너지는 하부 라이너/배리어를 갖춘 경계면을 따라서, 증착되는 저 유전체 상수 (k) 막으로 보다 낮은 깊이에서 보다 많은 탄소를 제거하기 위해 조절될 수 있다.
다른 접근에서, 열 어닐링 단계의 상황은 경계면을 따라서, 동일한 결과, 즉 탄소 함량의 감소 및 증가된 산화물 함량을 달성하기 위해서 제어될 수 있다. 물론, 이러한 열 어닐링 상황의 제어는 e빔 어닐과 관련하여 이용될 수도 있다.
상세히 전술된 바와 같이, 본 발명의 실시예에 따른 실리콘 산화물 부착 층은 단독 또는 조합된 다양한 기술을 이용하여 형성될 수 있다. 그러나, 형성된 이러한 산화물 부착 층은 약 0 내지 10% 범위의 탄소 함량을 가지며 약 10 내지 100 Å 범위의 두께를 갖도록 기대될 수 있다.
일련의 실시예는 다양한 접근을 이용하여 형성되는 본 발명에 따른 부착 층의 성능을 평가하도록 수행된다. 모든 경우에, 저 유전체 상수 (k) 층은 등록상표 블록 층을 베어링하는 Si 웨이퍼 위에 증착되며, 증착된 막은 4 KeV 및 150 μC/㎠의 선량을 갖는 e빔 조사로 5분 동안 어닐링되어, 나노 다공성 막은 5000 Å의 두께를 나타낸다. 우선, 산화물 부착 층이 없는 웨이퍼와 관련하여, 하부 등록상표 블록으로부터 나노 다공성 저 유전체 상수 (k) 층을 분리하기 위해 요구되는 기가사이클력은 4.0 Gpa이다.
제 2 웨이퍼는 300W의 가해진 전력 하에서, 200 sccm으로 분자 산소의 유동으로 등록상표 블록 층을 노출시킴으로써 형성되는 산화물 부착 층을 지지하여 상부에 존재하는 플라즈마 및 산화 Si 전구체를 생성시킨다. 제 3 웨이퍼는 300W의 가해진 전력 하에서, 보다 높은 유동률(400 sccm)로 분자 산소의 유동으로 등록상표 블록 층을 노출시킴으로써 형성되는 산화물 부착 층을 지지한다. 제 4 웨이퍼는 500W의 가해진 전력 하에서, 400 sccm으로 분자 산소의 유동으로 등록상표 블록 층을 노출시킴으로써 형성되는 산화물 부착 층을 지지하여, 플라즈마를 생성시킨다.
제 4 웨이퍼를 통한 제 2 웨이퍼에 있어서, 블록은 등록상표 블록으로부터 분리되는 나노 다공성 저 유전체 상수 (k) 재료 이전에 하부 Si 웨이퍼로부터 분리되도록 관찰된다. 이는 하부 등록상표 블록으로부터 나노 다공성 저 유전체 상수 (k) 층을 분리하는데 요구되는 기가사이클력이 실질적으로 관련웨이퍼의 4.0 Gpa보다 큼을 나타낸다.
이중 다마신 구조물의 증착
본 발명의 실시예에 따라 제조되는 바람직한 이중 다마신 구조물(500)은 도 7에 도시되어 있으며, 구조물을 제조하는 방법은 후속적으로 도 8a 내지 도 8h에 상부에 형성된 본 발명의 단계를 갖는 기판의 횡단면도로 개략적으로 도시된다.
나노 다공성 금속 간 유전체 층(510)을 포함하는 이중 다마신 구조물(500)이 도 7에 도시되어 있다. 본 발명에 따라 증착되는 금속 간 유전체 층(510, 514)은 3 미만의 극히 낮은 유전체 상수를 가지며 이는 종종 극도로 낮은 유전체 상수 (k), 또는 ELK, 유전체 층으로서 언급된다. 바람직하게 본 발명의 나노 다공성 실리콘 산화물 층으로 구성되는 제 1 유전체 층(510)은 기판(502) 상에 증착된다. 기판은 실리콘 산화물, 실리콘 질화물, 실리콘 옥시질화물, 또는 비정질 수소화 실리콘 카바이드(등록상표 블록), 바람직하게는 상부에 증착되는 실리콘 질화물의 제 1(또는 기판) 식각 정지부(508)를 갖춘 기판 접촉 레벨 기판 재료(504)로 형성되는 패턴화된 전도성 라인(506)을 포함한다.
실리콘 산화물, 실리콘 질화물, 실리콘 옥시질화물, 또는 수소화된 실리콘 카바이드(등록상표 블록) 제 2 식각 정지부(512)는 제 1 유전체 층(510) 상에 증착된다. 제 2 부착 층(511)은 전술된 바와 같이, 층(512) 위에 형성될 수 있다.
바람직하게 본 발명의 나노 다공성 실리콘 산화물 층으로 구성되는 제 2 유전체 층(514)은 제 2 유전체 층(514) 상에 증착되는 제 3 식각 정지부(516)를 갖추어, 제 2 식각 정지부(512) 상에 증착된다. 증착되는 층은 비아(520) 내에 공형적으로 증착되는 배리어 층(522) 위에 전도성 금속(524), 바람직하게, 구리로 후속적으로 충진되는, 비아(520)를 형성하도록 식각된다. 기판은 평탄화되며, 실리콘 질화물, 실리콘 산화물, 실리콘 옥시질화물, 또는 수소화된 실리콘 카바이드를 포함하며, 바람직하게는 실리콘 질화물을 포함하는 캡핑 층(518)은 상부에 증착된다. 캡핑 층(518)은 후속적인 이중 다마신 다중레벨 인터커넥트를 위해서 제 1 식각 정지부(508)에 대응하며 기판 식각 정지부로서 역할을 하기도 한다.
도 8a에 도시된 바와 같이, 실리콘 산화물, 실리콘 질화물, 실리콘 옥시질화물, 또는 비정질 수소화 실리콘 카바이드, 바람직하게는 실리콘 질화물의 제 1 (또는 기판) 식각 정지부(508)는 기판(502) 상에 약 1000 Å의 두께로 증착된다. 기판(502)은 컨택 레벨 기판 재료(504) 내에 형성되는 패턴화된 전도성 인터커넥트 또는 라인(506)을 포함한다. 제 1 식각 정지부 층(508)은 전술된 바와 같이 부착 층(509)를 지지할 수 있다.
제 1 나노 다공성 유전체 층(510)은 제 1 식각 정지부(508) 상에 본 발명에 따라서 증착된다. 제 1 유전체 층(510)은 제조되는 구조물의 크기에 따라서, 약 5000 Å 내지 약 10000 Å의 두께를 갖지만, 바람직하게는 약 5000 Å의 두께를 갖는다. 제 1 유전체 층(510)은 층(510)으로부터 휘발성 오염물질을 제거하기 위해서, 약 350 ℃ 내지 약 400 ℃의 온도로 어닐링된다.
실리콘 옥시질화물과 같은 제 2 식각 정지부(512)는 약 500 Å의 두께로 유전체 층(510) 상에 증착된다.
제 2 식각 정지부 층(512)은 전술된 바와 같이 부착 층(511)을 지지한다. 이러한 산화물 부착 층은 통상적으로 약 10 내지 100 Å 범위의 두께를 나타낸다.
제 2 나노 다공성 유전체 층(514)은 부착 층(511) 상에 약 5,000 Å 내지 약 10,000 Å, 바람직하게는 약 5,000 Å의 두께로 증착되며, 약 350 ℃ 내지 약 400 ℃의 온도로 어닐링된다.
실리콘 산화물, 실리콘 질화물, 실리콘 옥시질화물, 또는 무정형 수소화 실리콘 카바이드(등록상표 블록), 바람직하게는 실리콘 질화물의 제 3 식각 정지부(516)는 약 500 Å 내지 약 1000Å, 바람직하게는 약 1000 Å의 두께로 제 2 유전체 층(514) 상에 증착된다. 약 2000 Å의 두께를 갖는 실리콘 산화물 층(517)은 화학 기계식 연마(CMP) 단계에서 노 이용뿐만 아니라 경질의 식각 마스크로서의 역할을 하도록 제 3 식각 정지부(516) 상에 증착된다. 반 반사 코팅(ARC)(519) 및 포토레지스트 층(521)을 포함하는 트렌치 포토마스크는 각각 실리콘 산화물 층(517) 위에 증착된다. 포토레지스트 층(521)은 당업계에 공지된 통상적인 포토리쏘그래피 수단에 의해 패턴화된다.
실리콘 산화물 층(517)은 도 8b에 도시된 바와 같은 제 3 식각부(516)를 노출시키기 위해서 당업계에 공지된 통상적인 수단, 바람직하게는, 플루오르카본 화학물질을 이용하여 식각 공정에 의해 식각된다. 실리콘 산화물 층(517)의 초기 식각은 이중 다마신 구조물(500)의 개구 폭, 또는 트렌치 폭을 구성한다. 실리콘 산화물 층(517) 내에 형성되는 개구 폭은 제 2 식각 정지부(514) 위에 형성되는 이중 다마신 구조물(500)의 수평 인터커넥트를 형성한다. 잔여 포토레지스트(521)는 비아 식각의 준비를 위해서, 재가되거나 건조 제거된다. 이중 다마신 구조물의 컨택 또는 비아 폭의 형성을 위해서 제 2 반 반사적 코팅(519) 및 포토레지스트 층(521)은 얇은 실리콘 산화물 층(517) 위해 개별적으로 증착되며 도 8c에 도시된 바와 같은 비아 폭 만큼 제 3 식각 층(516)을 노출시키도록 포토리쏘그래피에 의해 패턴화된다.
도 8d와 관련하여, 제 3 식각 정지부(516) 및 제 2 유전체 층(514)은 제 2 식각 정지부(512)를 노출시키기 위해서 트렌치 식각된다. 비아(520)는 실리콘 산화물 층(517)에 의해 구성되는 폭으로 금속화 구조물(즉, 인터커넥트 및 컨택/비아)을 형성하도록 비등방성 식각 기술을 이용하여 제 2 식각 정지부(512)에 제 2 유전체 층(514)을 비아 식각하며: 도 8e에 도시된 바와 같이, 제 3 식각 정지부(516), 제 2 유전체 층(514), 및 제 2 식각 정지부(512)의 식각 중에 구성되는 비아 폭으로 제 1 식각 정지부(508)에 제 1 유전체 층(510)을 식각함으로써 형성된다. 제 2 식각 정지부(512) 또는 제 2 유전체 층(514)을 패턴화하기 위해서 이용되는 임의의 포토레지스트 재료 또는 ARC 재료는 산소 스트립 또는 다른 적합한 공정을 이용하여 제거된다. 도 8f는 컨택 레벨 기판 재료(504) 내에 하부 패턴화된 금속 라인(506)을 노출시키는, 기판(502)을 보호하는 제 1 식각 정지부(508)의 식각을 도시하고 있다. 패턴화된 금속 라인(506)은 바람직하게는, 구리와 같은 전도성 금속을 포함한다. 이중 다마신 구조물(500)은 후속적인 층 증착 이전에 당업계에 공지된 통상적인 수단에 의해 예비 세정된다.
금속화 구조물은 알루미늄, 구리, 텅스텐 또는 이들의 조합물과 같은 전도성 재료로 형성된다. 곧, (알루미늄의 3.1 mW-cm에 비해 1.7 mW) 구리의 저 저항으로 인해 보다 작은 미세구조물을 형성하기 위해서 구리를 이용하게 된다. 바람직하게, 도 8g에 도시된 바와 같은, 탄탈 질화물과 같은 적합한 배리어 층(522)은 주변 실리콘 및/또는 유전체 재료로 구리의 이동을 방지하기 위해서 금속화 패턴(520) 내에 공형으로 우선 증착된다. 그 후, 구리(524)의 층은 전도성 구조물을 형성하기 위해서, 화학 기상 증착, 물리 기상 증착, 전기도금, 바람직하게는, 전기도금에 의해 증착된다. 구조물이 구리 또는 다른 금속으로 채워진다면, 표면은 화학 기계식 연마되고 캡핑 층(518)으로 캡핑되며, 바람직하게는 도 8h에 도시된 바와 같이, 약 1000 Å의 두께를 가지며 실리콘 질화물을 포함한다. 표면을 평탄화하기 이전에, 금속은 구조물(500) 내에 형성될 수 있는 공극을 제거하고 구리 충진물을 재결정화시키기 위해서 수소 분위기에서 어닐링될 수 있다. 도시되지는 않았지만, 구리 시드 층(524)은 구리 층(524)이 전기도금 공정에 의해 증착되는 경우에 구리 층(524)에 앞서 증착될 수 있다. 이중 다마신 형성 공정은 근데 마이크로프로세서 집적 회로가 5 또는 6의 인터커넥션 레벨을 갖는 다른 인터커넥션 레벨로 증착하기 위해서 반복될 수 있다.
다시, 도시되고 전술된 이중 다마신 형성 공정이 저 유전체 상수 (k) 층의 증착 이전에 부착 층의 형성을 수반하지만, 이는 본 발명의 모든 실시예에 의해 요구되는 것은 아니다. 대안적인 실시예는 저 유전체 상수 (k) 층의 증착 다음에 부착 층을 형성할 수 있다.
다음의 예는 분산된 미세한 가스 공극을 갖는 나노 다공성 실리콘 산화물계 막의 증착을 증명한다. 이러한 예는 화학 기상 증착 챔버, 및 특히, 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼즈사에 의해 제조되고 판매되는 센츄라 "DLK" 시스템을 이용하여 착수된다.
실리콘 함유 및 열적으로 불안정한 분배 성분을 갖는 실리콘 화합물(가정)
나노 다공성 실리콘 산화물계 막은:
150 sccm으로 메틸시릴-2-푸릴 에테르
1000 sccm으로 일산화 질소(N2O)
챔버에 유입되기 이전에, 일산화 질소는 2000 W의 마이크로파 에너지를 제공하는 마이크로파 어플리케이터 내에 분리된다. 기판은 가스 분배 샤워헤드로부터 600 밀에 위치되며, 반응성 가스는 2분 동안 도입된다. 기판은 5분의 시간 주기로 가열되며, 나노 다공성 실리콘 산화물계 막을 경화시키고 어닐링하기 위해서 400 ℃의 온도에서 50℃/분 만큼 기판의 온도를 상승시킨다.
실리콘 함유 화합물과 첨가된 열적으로 불안정한 분배 화합물의 혼합물(가정)
나노 다공성 실리콘 산화물계 막은 다음과 같이:
100 sccm으로 시클로-1,3,5,7-테트라시릴렌-2,6-다이옥시-4,8 디메틸렌
50 sccm으로 비닐-2-푸릴 에테르
1000 sccm으로 일산화 질소(N2O)
반응기 내측으로 유동하며 증발되는 반응성 가스로부터 30℃의 온도 및 1.0 토르의 챔버 압력에서 증착된다.
챔버에 유입되기 이전에, 일산화 질소는 2000 W의 마이크로파 에너지를 제공하는 마이크로파 어플리케이터 내에 분리된다. 기판은 가스 분배 샤워헤드로부터 600 밀에 위치되며, 반응성 가스는 2분 동안 도입된다. 기판은 5분의 시간 주기로 가열되며, 나노 다공성 실리콘 산화물계 막을 경화시키고 어닐링하기 위해서 400 ℃의 온도로 50℃/분 만큼 기판의 온도를 상승시킨다.
실리콘 함유 및 열적으로 불안정한 분배 성분을 갖는 실리콘 화합물 및 첨가된 실리콘 함유 화합물(가정)
나노 다공성 실리콘 산화물계 막은 다음과 같이:
100 sccm으로 메틸시릴-2-푸릴 에테르
50 sccm으로 시클로-1,3,5,7-테트라시릴렌-2,6-다이옥시-4,8 디메틸렌
1000 sccm으로 일산화 질소(N2O)
반응기 내측으로 유동하며 증발되는 반응성 가스로부터 0℃의 온도 및 1.0 토르의 챔버 압력에서 증착된다.
챔버에 유입되기 이전에, 일산화 질소는 2000 W의 마이크로파 에너지를 제공하는 마이크로파 어플리케이터 내에 분리된다. 기판은 가스 분배 샤워헤드로부터 600 밀에 위치되며, 반응성 가스는 2분 동안 도입된다. 기판은 5분의 시간 주기로 가열되며, 나노 다공성 실리콘 산화물계 막을 경화시키고 어닐링하기 위해서 400 ℃의 온도로 50℃/분 만큼 기판의 온도를 상승시킨다.
전술된 바와 같이 본 발명의 특정 실시예는 다양한 수정, 변형, 및 대안이 이용될 수 있다. 이러한 실시예 및 대안은 본 발명의 범위 내에 포함된다. 따라서, 본 발명의 범위는 전술된 실시예에 제한되는 것이 아니며 본 발명의 실시예의 전체 범위 및 다음의 청구 범위에 의해 형성된다.

Claims (18)

  1. 나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법으로서,
    (a) 라이너/배리어 층을 지지하는 기판을 제공하는 단계;
    (b) 상기 라이너/배리어 층 위에 실리콘 산화물 부착 층을 형성하는 단계;
    (c) 상기 부착 층 위에 저 유전체 상수 (k) 막을 증착시키는 단계; 및
    (d) 내부에 나노 다공을 형성하기 위해서 상기 증착된 저 유전체 상수 (k) 막을 경화시키는 단계를 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법.
  2. 제 1 항에 있어서,
    상기 (a) 단계는 실리콘 카바이드 라이너/배리어 층을 지지하는 상기 기판을 제공하는 단계를 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법.
  3. 제 1 항에 있어서,
    상기 (b) 단계는 상기 저 유전체 상수 (k) 막의 증착 이전에 상기 라이너/배 리어 층을 산화 가스에 노출시키는 단계를 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법.
  4. 제 3 항에 있어서,
    상기 (b) 단계는 상기 산화 가스를 실리콘 함유 가스에 노출시키는 단계를 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이에 부착을 촉진시키는 방법.
  5. 제 1 항에 있어서,
    상기 (b) 단계는 상기 산화 가스의 증착 이전에 플라즈마에 상기 라이너/배리어 층을 노출시키는 단계를 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이에 부착을 촉진시키는 방법.
  6. 제 5 항에 있어서,
    상기 플라즈마는 상기 배리어/라이너 층의 증착 이후에 연속적으로 유지되는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이에 부착을 촉진시키는 방법.
  7. 제 1 항에 있어서,
    상기 증착되는 저 유전체 상수 (k) 막은 전자 빔 조사의 적용에 의해 경화되는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이에 부착을 촉진시키는 방법.
  8. 제 7 항에 있어서,
    상기 전자 빔 조사의 적용은 상기 라이너/배리어와 상기 저 유전체 상수 (k) 막 사이의 경계면을 따라서 탄소 함량을 감소시켜, 상기 산화물 부착 층의 형성에 도움이되는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이에 부착을 촉진시키는 방법.
  9. 나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법으로서,
    (a) 라이너/배리어 층을 지지하는 기판을 제공하는 단계;
    (b) 상기 라이너/배리어 층 위에 저 유전체 상수 (k) 막을 증착시키는 단계; 및
    (c) 상기 라이너/배리어 층과 상기 저 유전체 상수 (k) 막 사이에 산화물 부착 층이 형성되도록 상기 라이너/배리어 층과 상기 저 유전체 상수 (k) 막 사이의 경계면을 따라서 탄소 함량을 감소시키며 저 유전체 상수 (k) 막에 전자 빔 조사를 가하여 구멍을 생성시키는 단계를 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법.
  10. 제 9 항에 있어서,
    상기 (a) 단계는 실리콘 카바이드 라이너/배리어 층을 지지하는 상기 기판을 제공하는 단계를 더 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법.
  11. 제 9 항에 있어서,
    상기 저 유전체 상수 (k) 막의 증착 이전에 상기 라이너/배리어 층을 산화 가스에 노출시키는 단계를 더 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법.
  12. 제 9 항에 있어서,
    상기 저 유전체 상수 (k) 막의 증착 이전에 상기 산화 가스를 실리콘 함유 가스에 노출시키는 단계를 더 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법.
  13. 제 12 항에 있어서,
    상기 저 유전체 상수 (k) 막의 증착 이전에 상기 라이너/배리어 층을 플라즈마에 노출시키는 단계를 더 포함하는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법.
  14. 제 13 항에 있어서,
    상기 플라즈마는 상기 배리어/라이너 층의 증착 이후에 연속적으로 유지되는,
    나노 다공성 저 유전체 상수 (k) 막과 하부 라이너/배리어 층 사이의 부착을 촉진시키는 방법.
  15. 집적 회로용 인터커넥트 구조물로서,
    라이너/배리어 층;
    상기 라이너/배리어 층 위의 실리콘 산화물 부착 층; 및
    상기 부착 층 위의 나노 다공성 저 유전체 상수 (k) 층을 포함하는,
    집적 회로용 인터커넥트 구조물.
  16. 제 15 항에 있어서,
    상기 라이너/배리어 층은 약 30% 이상의 탄소 함량을 갖는 실리콘 카바이드 층을 포함하며,
    상기 나노 다공성 저 유전체 상수 (k) 층은 10 % 이하의 탄소 함량을 갖는 탄소 도핑된 실리콘 산화물 층을 포함하며;
    상기 실리콘 산화물 부착 층은 10 % 미만의 탄소 함량을 갖는 실리콘 산화물을 포함하는,
    집적 회로용 인터커넥트 구조물.
  17. 제 15 항에 있어서,
    상기 저 유전체 상수 (k) 층은 2.5 이하의 (k) 값을 나타내는,
    집적 회로용 인터커넥트 구조물.
  18. 제 15 항에 있어서,
    상기 라이너/배리어 층 아래 구리 금속화 층을 더 포함하는,
    집적 회로용 인터커넥트 구조물.
KR1020067022213A 2004-03-31 2006-10-25 하부 배리어 층에 저 유전체 상수 (k) 다공성 막의 부착을촉진하는 기술 KR101141459B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US55847504P 2004-03-31 2004-03-31
US60/558,475 2004-03-31
PCT/US2005/009969 WO2005098925A1 (en) 2004-03-31 2005-03-24 Techniques promoting adhesion of porous low k film to underlying barrier layer

Publications (2)

Publication Number Publication Date
KR20070028361A true KR20070028361A (ko) 2007-03-12
KR101141459B1 KR101141459B1 (ko) 2013-05-23

Family

ID=34964189

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067022213A KR101141459B1 (ko) 2004-03-31 2006-10-25 하부 배리어 층에 저 유전체 상수 (k) 다공성 막의 부착을촉진하는 기술

Country Status (6)

Country Link
US (1) US7547643B2 (ko)
JP (1) JP4842251B2 (ko)
KR (1) KR101141459B1 (ko)
CN (1) CN1938833B (ko)
TW (1) TWI275146B (ko)
WO (1) WO2005098925A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130050918A (ko) * 2010-03-15 2013-05-16 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US20060220251A1 (en) * 2005-03-31 2006-10-05 Grant Kloster Reducing internal film stress in dielectric film
KR100724629B1 (ko) * 2005-12-12 2007-06-04 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7892972B2 (en) * 2006-02-03 2011-02-22 Micron Technology, Inc. Methods for fabricating and filling conductive vias and conductive vias so formed
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
US7670924B2 (en) * 2007-01-29 2010-03-02 Applied Materials, Inc. Air gap integration scheme
JP4978847B2 (ja) * 2007-06-01 2012-07-18 Nltテクノロジー株式会社 シリコン酸化膜及びその製造方法並びにそれを用いたゲート絶縁膜を有する半導体装置
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20110204382A1 (en) * 2008-05-08 2011-08-25 Base Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
WO2010009234A1 (en) * 2008-07-16 2010-01-21 Wisconsin Alumni Research Foundation Metal substrates including metal oxide nanoporous thin films and methods of making the same
JP2011077442A (ja) * 2009-10-01 2011-04-14 Tokyo Electron Ltd プラズマ処理方法およびプラズマ処理装置
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
JP5654794B2 (ja) * 2010-07-15 2015-01-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102446817B (zh) * 2010-10-14 2013-11-06 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
GB201105953D0 (en) * 2011-04-07 2011-05-18 Metryx Ltd Measurement apparatus and method
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
CN103871961B (zh) * 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
KR102136769B1 (ko) * 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
KR101454550B1 (ko) * 2013-06-28 2014-10-27 엘지전자 주식회사 리니어 압축기
CN105448705B (zh) * 2014-06-18 2018-05-04 无锡华润上华科技有限公司 一种消除晶圆氧化膜上微粒的方法及其氧化膜
CN105448655B (zh) * 2014-09-02 2019-01-08 中芯国际集成电路制造(上海)有限公司 多孔低介电薄膜、其制作方法及包括其的层间介质层
GB201522552D0 (en) * 2015-12-21 2016-02-03 Spts Technologies Ltd Method of improving adhesion
CN107492517B (zh) * 2016-06-12 2020-05-08 中芯国际集成电路制造(上海)有限公司 互连结构及形成方法
US20190157213A1 (en) 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
EP0370729A1 (en) 1988-11-25 1990-05-30 Mitsui Petrochemical Industries, Ltd. Process for producing isopropylnaphthols
US5468595A (en) 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
KR100238252B1 (ko) * 1996-09-13 2000-01-15 윤종용 Sog층 큐어링방법 및 이를 이용한 반도체장치의 절연막제조방법
US6351039B1 (en) 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US5972111A (en) 1997-06-19 1999-10-26 Anderson; Dean Robert Gary Metering device for paint for digital printing
US6051881A (en) * 1997-12-05 2000-04-18 Advanced Micro Devices Forming local interconnects in integrated circuits
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6150070A (en) 1999-03-17 2000-11-21 Alliedsignal Inc. Method of creating optimal profile in single layer photoresist
US6255035B1 (en) 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
US6218090B1 (en) 1999-03-17 2001-04-17 Electron Vision Corporation Method of creating controlled discontinuity between photoresist and substrate for improving metal lift off
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6195246B1 (en) 1999-03-30 2001-02-27 Electron Vision Corporation Electrostatic chuck having replaceable dielectric cover
ATE305057T1 (de) * 1999-04-14 2005-10-15 Allied Signal Inc Durch polymerabbau erhältliches nano-poröses material mit niedriger dielektrizitätskonstante
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6319655B1 (en) 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6340556B1 (en) 1999-08-04 2002-01-22 Electron Vision Corporation Tailoring of linewidth through electron beam post exposure
US6407399B1 (en) 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6426127B1 (en) 1999-12-28 2002-07-30 Electron Vision Corporation Electron beam modification of perhydrosilazane spin-on glass
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6303525B1 (en) * 2000-08-18 2001-10-16 Philips Electronics No. America Corp. Method and structure for adhering MSQ material to liner oxide
JP3530165B2 (ja) * 2000-10-20 2004-05-24 株式会社東芝 半導体装置の製造方法
US6583047B2 (en) 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US20030033227A1 (en) * 2001-08-10 2003-02-13 Heiser Kenneth Gabriel Multi-level software for generating wills and trusts online
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20040101632A1 (en) 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
JP2004095865A (ja) * 2002-08-30 2004-03-25 Nec Electronics Corp 半導体装置およびその製造方法
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
JP2005217142A (ja) * 2004-01-29 2005-08-11 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130050918A (ko) * 2010-03-15 2013-05-16 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 덮기 위한 실리콘 질화물 패시베이션 층

Also Published As

Publication number Publication date
JP2007531319A (ja) 2007-11-01
TW200614374A (en) 2006-05-01
KR101141459B1 (ko) 2013-05-23
US20050233591A1 (en) 2005-10-20
CN1938833B (zh) 2010-12-22
US7547643B2 (en) 2009-06-16
WO2005098925A1 (en) 2005-10-20
CN1938833A (zh) 2007-03-28
TWI275146B (en) 2007-03-01
JP4842251B2 (ja) 2011-12-21

Similar Documents

Publication Publication Date Title
KR101141459B1 (ko) 하부 배리어 층에 저 유전체 상수 (k) 다공성 막의 부착을촉진하는 기술
US7422776B2 (en) Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4723565B2 (ja) 低誘電率ナノ細孔性膜の多段階硬化
JP4918190B2 (ja) 非常に低い誘電率プラズマ強化cvd膜
US20080107573A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 8