CN1518762A - 由二种旋涂式介电材料组成的混合式低k互连结构 - Google Patents

由二种旋涂式介电材料组成的混合式低k互连结构 Download PDF

Info

Publication number
CN1518762A
CN1518762A CNA018229018A CN01822901A CN1518762A CN 1518762 A CN1518762 A CN 1518762A CN A018229018 A CNA018229018 A CN A018229018A CN 01822901 A CN01822901 A CN 01822901A CN 1518762 A CN1518762 A CN 1518762A
Authority
CN
China
Prior art keywords
dielectric
dielectric material
interconnection structure
spin
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA018229018A
Other languages
English (en)
Other versions
CN1261989C (zh
Inventor
S��M���Ǵ�
S·M·盖茨
�յ����
J·C·赫德里克
S·V·尼塔
�Ф�ع���
S·普鲁肖特哈曼
�ٱ�����
C·S·蒂贝格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1518762A publication Critical patent/CN1518762A/zh
Application granted granted Critical
Publication of CN1261989C publication Critical patent/CN1261989C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种双镶嵌型的金属布线加低k介电质的互连结构,其中将该导电金属线路与通路孔形成在一混合式低k(介电常数)介电质内,它包含两种旋涂式介电材料,具有不同原子成分,且这两种旋涂式介电材料中至少之一为多孔的。这两种应用于构成本发明混合式低k介电质的旋涂式介电材料,各具有一约2.6或更低的介电常数,且该混合结构的各介电材料各以具有从约1.2到约2.2范围内的k值为佳。藉由利用本发明混合式低k介电质,将能够获得对于金属线路电阻(沟槽深度)的优异控制而无须另增成本。可达到此项目的而不必利用掩埋式蚀刻停止层,若存在,则形成在这两种旋涂式介电材料之间。

Description

由二种旋涂式介电材料组成的混合式低k互连结构
技术领域
本发明涉及用于高速微处理器、应用特定集成电路(ASIC)及其它高速集成电路(IC)的互连结构。本发明可提供一种低介电常数(即低k值)的互连结构,此结构具有增强的电路速度、热循环期间的结构稳定性、导体电阻精确数值、经降低的制程成本,与改进的化学机械抛光(CMP)兼容的处理便捷性。此外,本发明结构与现在技术之传统结构确相比具有较低的有效介电常数,以及对于金属线路电阻的经改进的控制。
背景技术
现已知有许多双镶嵌型的超低k(即约3.5或更低)加铜(Cu)互连结构;例如可参阅R.D.Goldblatt等人于2000年6月5-7日的IEEE电子装置学会“国际互连技术会议”,标题“A High Performance 0.13μm Copper BOELTechnology with Low-k Dielectric”,讲稿第261-263页。这种现有技术的互连结构含有无机性与有机性介电材料,用以作为中间层(interlevel)或内层(intra-level)介电质。相对于单镶嵌或是减除性金属结构而言,双镶嵌结构的成本低,因而被广泛接受。
通常,会存在四种与现有的双镶嵌互连结构相关的基本问题,包括如下:
(i)对Cu线路厚度(即如沟槽深度)和电阻性的控制并不佳。
(ii)低k值介电材料的高热膨胀系数(CTE),这最终将会导致在热循环处理过程中失效。
(iii)超低k值的介电质无法经化学机械抛光处理之后留存。
(iv)增加结构的制造成本。
在现有技术的互连结构的制造过程中,(在金属填充与CMP后)会成为金属线路导体的沟槽的深度,通常是不容易控制的,同时沟槽底部会具有粗糙的表面。而当对多孔性介电质进行反应离子蚀刻(RIE)时,此效果会益加恶化。计时性的反应离子蚀刻(RIE)处理通常是被用来依照时间控制沟槽深度的方式来蚀刻沟槽。就特性尺寸(沟槽宽度)而言,蚀刻速率每日都会改变,并且在整个晶片上蚀刻速率也会改变,因此导致极大的沟槽深度变异性,而这又会造成金属导体电阻的高度变化。沟槽底部的粗糙度会产生较高电容、金属线路之间的泄漏电子流、串音、噪声、功率散失且最终会使器件的性能和可靠性不佳。
对于前述问题的常见解决方法是增加额外的处理步骤,包括在一个单独的等离子体增强化学气相淀积(PECVD)工具中,淀积出一不连续的蚀刻停止层,从而将会提高制造所希望的低k加Cu互连结构的成本。
另外,双镶嵌型的低k介电质加Cu互连结构在热循环测试的过程中会失效,这是因为环绕于各通路孔的介电材料高CTE之故。此外,常用的多孔低k介电材料并无法通过CMP处理。反之,会倾向于在CMP处理过程中,将现有技术的多孔低k介电材料予以分层与去除。此外,现有技术的蚀刻停止层是由真空式PECVD淀积工具制成的,然而此等设备的购置与维修成本极为昂贵。
从而,根据如上现有技术中的各项问题,确实存在对于提供新的与经改进的、从而可以克服前述缺点的双镶嵌型低k介电材料互连结构的持续需求。
发明内容
本发明之一目的在于提供一种双镶嵌型低k介电加金属导体互连结构,其中可对于金属导体电阻获得精确与均匀控制,而无导体厚度的变异性。
本发明之另一目的在于提供一种强固性低k介电加金属导体互连结构,由于环绕通路孔的介电材料低CTE,从而在热循环过程中保持稳定。
本发明之进一步目的在于提供一种互连结构,由于其结构在CMP之后不会产生分层或其它失效问题而易于处理。
本发明之又进一步目的在于提供一种低k介电加金属导体互连结构,其不包含额外的处理步骤,从而不会显著增加结构的生产成本。
本发明之又进一步目的在于提供一种低k介电加金属导体互连结构,其含有多层旋涂介电材料。
本发明之又进一步目的在于提供一种低k介电加金属导体互连结构,在其形成工艺中不必采用成本昂贵的真空式淀积工具。
本发明中可通过提供一种双镶嵌型金属布线加低k介电互连结构达到这些与其它目的及优点,其中是将该导电金属线路与通路孔建构于一混合式低k介电结构中,该结构包含两种旋涂式介电材料,它们具有不同原子成分,且这两种旋涂式介电材料中至少之一是多孔的。这两种应用于构成本发明混合式低k介电结构的旋涂式介电材料,都具有一约2.6或更低的介电常数,且该混合结构的各介电材料优选地都具有从约1.2到约2.2范围内的k值。通过利用本发明混合式低k介电结构,将能够获得对于金属线路电阻(沟槽深度)的优异控制而无须增加成本。可达到此目的而不必利用掩埋式蚀刻停止层,如果有,则会形成于这两种旋涂式介电材料之间。
根据本发明,该混合式低k介电结构的旋涂式介电材料具有显著差异的原子成分,可供控制导体的电阻,这是利用底部旋涂式介电材料(例如通路孔介电材料)作为对于顶部旋涂式介电材料(例如线路介电材料)的内含式蚀刻停止层。
在本发明之一特点中,提供了一种互连结构,包含:
一基板,而于其表面上具有图案化的混合式低k介电材料,该图案化的混合式低k介电材料具有一约2.6或更低的有效介电常数,并包含一底部旋涂式介电材料及一顶部旋涂式介电材料,其中该底部及顶部旋涂式介电材料具有不同的原子成分,且这两种旋涂式介电材料中至少其一是多孔的;
一抛光停止层,形成于该图案化的混合式低k介电材料上;以及
金属导电区域,形成于该图案化的混合式低k介电材料上。
本发明之另一特点是关于一种混合式低k介电材料,可适用于制作双镶嵌型的互连结构。尤其是,本发明性混合介电材料含有一底部旋涂式介电材料及一顶部旋涂式介电材料,其中该底部及顶部旋涂式介电材料具有约2.6或更低的介电常数、不同的原子成分,并且这两种介电材料中至少其一是多孔的。
本发明之进一步特点是关于一种用于形成前述互连结构的方法。特别是,本发明的方法包含如下步骤:
(a)在一基板表面上形成混合式低k介电材料,该混合式低k介电材料具有一约2.6或更低的有效介电常数,并包含一底部旋涂式介电材料及一顶部旋涂式介电材料,其中该底部及顶部旋涂式介电材料具有不同的原子成分,且这两种旋涂式介电材料中至少之一是多孔的;
(b)在该混合式低k介电材料上形成一硬质掩模,该硬质掩模至少含有一抛光停止层;
(c)在该硬质掩模中形成一开口,以露出一部分该混合式低k介电材料;
(d)利用该硬质掩模作为一蚀刻掩模,在该混合式低k介电材料的露出部分形成一沟槽;
(e)以至少一种导电金属来填充该沟槽;及
(f)对停止于该抛光停止层上的导电金属予进行平面化。
附图说明
1A-1G图为经过本发明各个处理步骤后的本发明互连结构的剖视图。
具体实施方式
现将参照附图详细地说明本发明,本发明可提供一种适用于构成双镶嵌型互连结构的混合式介电材料。在此,可注意到附图中相似及/或相应的单元以类似参考编号来表示。
现请参照图1A,该图说明了应用于本发明中的初始结构。详细地说,如图1A所示的结构含有一基板10,其具有形成于其表面上的混合式低k介电质12。该混合式低k介电质包含一底部旋涂式介电材料14及一顶部旋涂式介电材料16。根据本发明,本发明混合式介电质具有一约2.6或更低的有效介电常数,而尤以具备从约1.2到约2.2范围内之有效介电常数值为最佳。
运用于本发明的发明性混合式低k介电材料包含两种旋涂式介电材料,它们具有不同的原子成分,且这两种旋涂式介电材料中至少其一系属多孔性,而尤以两种旋涂式介电材料皆为多孔性为佳。可注意到该底部旋涂式介电材料系作为本互连结构的通路孔层介电材料,而该顶部旋涂式介电材料系作为本互连结构的线路层介电材料。此外,由于该混合式介电质的有效介电常数约为2.6或更低,故该等旋涂式介电材料系由低k值(k为约2.6或更低)介电材料所制得。后文中将进一步提供关于此混合式低k介电材料的详细说明。
本发明所采用之基板可包含任何于互连结构中所常见的传统材料。于是,例如,基板10可为介电材料(层间或层内)、布线层、粘结增进剂、半导体晶片或它们的任意组合。当采用半导体晶片作为基板时,该晶片可包括各种电路及/或构成于其上的器件。
如前所述,混合式介电质的各个薄层是利用传统的旋涂镀层处理步骤所构成,这些步骤系属业界广知者,且在旋涂镀层处理之后各薄层再加以热平板烘烤处理,进行该处理的各项条件足以从该旋涂式介电层上及/或部份地跨连于该层而移除掉任何残余的溶剂,藉此令该介电层成为非可溶性。
在本发明之一具体实施例中,该混合式结构的底部旋涂式介电材料是一有机低k介电材料,其含有C、O及H。本发明中可采用的有机低k介电材料的例子,包括,但不限于,芳香热固性聚合树脂,如Dow ChemicalCompany按SiLK商标所出售之树脂、Honeywell按Flare商标所出售之树脂,或是来自其它厂商的类似树脂与其它类似的有机介电材料。可注意到本发明采用的有机介电材料可以为,或者可以不是,多孔性。当采用多孔低k有机性介电材料时,该多孔有机性介电材料的孔洞大小可以变化,然而通常有机性介电材料会采用按从约5到约35%的体积百分比空隙率,而具有约1到约50纳米(nm)的孔洞大小。
当底部旋涂式介电质是由有机性介电材料所组成时,顶部旋涂式介电质是由无机性介电薄层所构成。通常,在本发明中,该无机性介电薄层含有Si、O与H,或任选地含C。一种可运用于本发明的典型无机介电材料的例子,即为倍半硅氧烷(HOSP,Honetwell公司所出售之含硅质无机物)。可适用于本发明的其他无机介电材料包括,但不限于,如下:甲基倍半硅氧烷(MSQ)、原硅酸四乙酯(tetraethylorthosilane,TEOS)、氢化倍半硅氧烷(HSQ)、MSQ-HSQ共聚物、有机硅烷以及任何其它含硅材料。在本发明具体实施例中,可利用多孔性或非多孔性无机介电材料作为此顶部旋涂式介电材料。而该无机旋涂式介电材料的孔洞大小对于本发明虽并不具关键性,然本发明所采用的无机旋涂式介电材料的孔洞大小通常以按从约5到约80%的体积百分比孔隙率而为从约5到约500,且尤以按从约10到约50%的体积百分比孔隙率而为从约10到约200为宜。
在本具体实施例中,以采用多孔性无机顶部旋涂式介电材料以及非多孔性有机底部旋涂式介电材料为最佳。
在本发明另一具体实施例中,此亦属较佳者,该底部旋涂式介电材料系一无机介电材料(多孔性或非多孔性),而顶部旋涂式介电材料系一有机介电材料,此者可,或无须,为多孔性,其条件是此混合结构中的两种旋涂式介电材料至少其中一者为多孔性。应注意到前文中有关于运用在本发明第一具体实施例中的有机与无机介电质的类型的说明,亦同时适用于此具体实施例。从而,在此无须对其另加赘述。在本具体实施例中,最好是具有一多孔性有机顶部旋涂式介电材料以及多孔性无机底部旋涂式介电材料可属极佳。
可注意到在将顶部介电材料旋涂于该底部介电材料上之前,可用传统式的粘结增进剂对该底部旋涂式介电材料加以处理。粘结增进剂施用方式包括业界所众知的传统旋涂式处理。经旋涂该粘结增进剂后即进行洗涤与烘烤步骤。此等洗涤与烘烤步骤可确保在于该底部旋涂式介电材料上形成顶部旋涂式介电材料之前,所有残余的溶剂和非反应性粘结增进剂都会被从该底部旋涂式介电材料上去除。
无论是在本发明中采用哪一具体实施例,该混合式低k介电质的底部旋涂式介电材料薄层都会具有从约500到约10000的厚度,且尤以从约900到约3000的厚度为最佳。而就所涉及的顶部旋涂式介电材料薄层,通常具有从约500到约10000的厚度,且尤以从约1000到约3000的厚度为最佳。应注意附图中虽仅出现两种旋涂式介电材料,然而在此可以预期额外的旋涂式介电材料层。
现可固化这个含有顶部及底部旋涂式介电材料之混合式低k介电质,或者如果该硬质掩模是由旋涂式介电材料所制得,则可于单一固化步骤内固化该混合式介电质与该硬质掩模。后者可为较佳,这是由于如此可降低整个过程内的处理步骤数目。后文所述之固化条件亦可适用于本具体实施例,其中会在构成该硬质掩模之前先进行固化。
在形成如图1A所示结构之后,该硬质掩模18会形成在该混合式介电质的最顶表面上,即该顶部旋涂式介电材料16上。根据本发明,该硬质掩模18会至少包括一抛光停止层20与一图案层22。可藉如传统式PEVCD处理方法来构成该硬质掩模,如图1B所示,或最好该硬质掩模18的各层是由旋涂镀层方式所构成为佳。而由旋涂镀层方式所构成的各薄层会为较佳,这是因为它们可减少整个处理中所运用的淀积工具之数量;从而,减少了整体制造成本。并且,虽然附图描绘了在该硬质掩模中仅出现有两个薄层,然而该硬质掩模确实可含有两个以上的薄层。
在构成该硬质掩模过程中所用的材料可改变,并且与它们朝向于直接置于底下的薄层的蚀刻选择性有关。例如,本发明中所采用的图案层是一朝向于其底置抛光停止层而具有高蚀刻选择性的材料(约为10∶1或甚更高)。另一方面,该抛光停止层是一朝向于底置的顶部旋涂式介电材料而具有高蚀刻选择性的材料,且此者的介电常数应不致显著地增加该混合式低k介电质的有效介电常数。在镶嵌处理过程中,在用来对该等金属特性进行抛光的CMP处理中,该抛光停止层也会具有极可忽略的抛光速率。
因此,该图案层可包括有机或无机介电材料,而该抛光停止层可含有无机或有机介电材料。各层的详细性质首先是根据该混合式低k介电质之顶部旋涂式介电材料,然后再是依照该抛光停止层而定。例如,如果该顶部旋涂式介电材料是一有机介电材料,则该抛光停止层通常会是无机介电材料,而该图案层通常则是由有机介电材料所构成。该硬质掩模的各层厚度可改变,且对于本发明非属关键者。然而,通常该图案层的厚度会是约100到约3000,而该抛光停止层的厚度通常则是约100到约1000。
在构成该硬质掩模,例如抛光停止层与图案层,以及底部的旋涂式介电材料多重薄层之后,可利用本技术领域人员所熟知的传统条件来进行单一固化步骤。若所有的介电材料皆由旋涂式介电材料所制成,则可进行此单一固化步骤。该固化步骤可包含一热平板烘烤步骤或烘炉加热。在本发明中,最好是利用含有烘炉烘烤的固化步骤为宜。虽然可改变用于固化的条件,不过通常会是按从约250℃到约500℃,时间为约30到约500秒的时段来进行加热平板烘烤,而烘炉烘烤步骤则是按从约200℃到约500℃,时间为约15分钟到约3.0小时的时段来进行。再次强调如果该硬质掩模不是由旋涂式介电材料所组成,则可在硬质掩模淀积之前先进行固化。此外,由于旋涂式硬质掩模是针对于该具体实施例的,所以各附图与后面的说明是针对于该具体实施例。然而可注意到这些附图与后面的说明对于非旋涂镀层的硬质掩模仍为有效。
固化如图1C中所示且标记为固化的混合式低k介电质12’,含有固化的顶部旋涂式介电材料16’与固化的底部旋涂式介电材料14’,以及固化的硬质掩模18’,其含有固化的抛光停止层20’与固化的图案层22’。在固化该混合式低k介电质与视需要该硬质掩模之后,然后如图1C所示的结构会经历第一光刻与蚀刻处理,此者会在该经固化的图案层22’内构成各开口24;参见图1D。详细地说,会按如下方式来构成如图1D所示的结构:首先于该固化的图案层上,利用本领域人员所熟知的传统淀积处理过程,形成用以对该图案层予以图案化的光致抗蚀剂层(图中未示出)。然后令该光致抗蚀剂露出于一辐射图案,然后再利用传统抗蚀剂显影技术,令该图案在该光致抗蚀剂层内显影。
对该抗蚀剂图案显影后,会在该硬质掩模中形成开口24,藉以露出一部分底置抛光停止层。详细地说,藉由传统干式蚀刻处理来形成开口,此方式包括(但不仅限于):反应-离子蚀刻(RIE)、等离子体蚀刻与离子束蚀刻。在这些各种干式蚀刻处理中,最好是利用含有氟基化学材料的RIE为佳。在此蚀刻步骤后,会利用本领域人员所熟知的传统剥离处理,将经图案化的光致抗蚀剂从该结构上剥离。由此第一光刻与蚀刻步骤所获得的结构可如图1D所示。
在将该光致抗蚀剂从该结构上剥离后,会将一新的光致抗蚀剂层(未未出)施加于图1D所示的结构。接着,再对此新的光致抗蚀剂层进行光刻与蚀刻处理,以于该结构内提供第二开口26,以露出经固化的混合式低k介电质12’的表面。此第二蚀刻步骤包括前述干式蚀刻处理其中一者。在这些各种干式蚀刻处理中,最好是利用含有氟基化学材料的RIE为佳。经过可露出该经固化的多层旋涂式介电材料的第二蚀刻步骤后,可利用传统的剥离处理将第二光致抗蚀剂从该结构上剥离,提供出一如图1E所示的结构。
在一较佳具体实施例中,于多孔性有机顶部介电材料的蚀刻过程中会耗失该第二抗蚀剂,藉此避免让该底部无机多孔性介电材料曝露于潜在地具有损害性的抗蚀剂剥除等离子。
1F图显示将构成于该硬质掩模上的图案传送到该混合式低k介电质上之后的结构。特别是,可利用一种含有氧或还原性化学材料的干式蚀刻处理来进行此图案传送,如此可在该混合式介电质中构成沟槽28。根据本发明,该沟槽28可为一通路孔或线路或两者。
在对该混合式介电质进行图案传送后,对该沟槽填充以导电性金属32并加平面化,藉此提供如图1G所示的结构。在填充该导电金属之前,先在该沟槽中构成一种视需要,但以此最宜,的衬垫材料30。在此所用的名词“导电金属”是指一种自含有如铝(Al)、铜(Cu)、钨(W)、银(Ag)等常用于互连结构的金属。同时,亦涵盖所述导电金属的合金,即如Al-Cu。本发明中所采用之较佳金属为铜。可利用传统的淀积处理,例如化学气相淀积(CVD)、等离子体辅助CVD、镀覆、溅射、化学溶液沉积与其它类似的淀积处理,来将金属置于该沟槽内。
应用于本发明的选择性衬垫材料包括任何可避免导电材料扩散到介电薄层内的材料。这种衬垫材料的一些范例可包括,但不限于,如下:TiN、TaN、Ti、Ta、W、WN、Cr、Nb与其它类似材料或它们组合。可利用本领域人员所熟知的传统淀积处理,像是CVD、等离子体辅助CVD、溅射、镀覆与化学溶液淀积,将该衬垫材料形成于沟槽中。
在以导电材料填充该沟槽后,该结构经历传统的平面化过程,例如化学机械抛光(CMP),去除抛光停止层上的任何导电性金属。请注意,平面化步骤还去除硬质掩模的图案化层,而不是结构中的抛光停止层。替代地,抛光停止层保留在结构的表面上。因此,必须选择介电常数相对低的抛光停止层以不增加互连结构的有效介电常数。在本发明的处理步骤之后,可藉由重复地进行本发明各处理步骤,而在如图1F所示的结构上形成额外的通路孔及布线层。如此,可利用本发明方法来备制出其中包含有一或更多布线与通路孔层的互连结构。
总体来说,本发明互连结构与现有技术的互连结构相比具有如下优点:
本发明结构(即低k介电质加金属导体互连结构)对于金属导体电阻具有精准且均匀的控制性。
可获得高度受控的金属导体电阻而无须增加处理成本,且减少真空基淀积工具的使用。
本发明结构在一热循环中是稳定的(通路孔的电阻不会显著变化),在一较佳具体实施例中,此循环是因环绕于该通路孔附近的多孔性无机介电材料的低CTE所产生。
本发明结构可承受传统的CMP处理,因在另一较佳具体实施例中,绕于金属线路附近具有较为强固的有机多孔性介电材料。
此外,在一较佳具体实施例中,本发明方法可让两组介电材料,特别是该底部多孔性无机介电材料,避免曝露于具损害性的抗蚀剂剥离等离子体。
尽管对本发明是按其较佳具体实施例示出与描述的,然而对于本领域技术人员来说,应知悉确可进行前述和其它对于其形式与细节变动,而仍无虞悖离本发明精神与范围。从而,本发明并不受限于所说明及描述的精确形式及细节,而应落入所附权利要求的范围内。

Claims (55)

1.一种互连结构,包含:
一基板,而于其表面上形成有经图案化的混合式低k介电材料,该经图案化的混合式低k介电材料具有一约2.6或更低的有效介电常数,并包含一底部旋涂式介电材料及一顶部旋涂式介电材料,其中该底部及顶部旋涂式介电材料具有不同的原子成分,且这两种旋涂式介电材料中至少其一是多孔的;
一抛光停止层,形成于该图案化的混合式低k介电材料上;以及
金属导电区域,形成于该图案化的混合式低k介电材料中。
2.如权利要求1所述的互连结构,其中所述混合式低k介电材料的有效介电常数为从约1.2到约2.2。
3.如权利要求1所述的互连结构,其中所述旋涂式介电材料都是多孔介电材料。
4.如权利要求1所述的互连结构,其中所述顶部旋涂式介电材料是一无机介电材料,而所述底部旋涂式介电材料是一有机介电材料。
5.如权利要求4所述的互连结构,其中所述顶部无机介电材料是多孔的。
6.如权利要求5所述的互连结构,其中所述顶部无机介电材料在从约5到约80%的体积百分比孔隙率下具有从约5到约500的孔洞大小。
7.如权利要求4所述的互连结构,其中所述无机介电材料包括Si、O与H以及选择性地包括C。
8.如权利要求7所述的互连结构,其中所述无机介电材料为HOSP、MSQ、TEOS、HSQ、MSQ-HSQ共聚物,有机硅烷或任何其它含Si材料。
9.如权利要求4所述的互连结构,其中所述有机介电材料包括C、O及H。
10.如权利要求9所述的互连结构,其中所述有机介电材料包括芳香热固性聚合树脂。
11.如权利要求1所述的互连结构,其中所述顶部旋涂式介电材料为一有机介电材料,而该底部旋涂式介电材料为一无机介电材料。
12.如权利要求11所述的互连结构,其中所述顶部有机介电材料是多孔的。
13.如权利要求12所述的互连结构,其中所述顶部有机介电材料在从约5到约35%的体积百分比孔隙率下具有从约1到约50纳米(nm)的孔洞大小。
14.如权利要求11所述的互连结构,其中所述有机介电材料包括C、O及H。
15.如权利要求14所述的互连结构,其中所述有机介电材料包括芳香热固性聚合树脂。
16.如权利要求11所述的互连结构,其中所述无机介电材料包括Si、O与H以及选择性地包括C。
17.如权利要求16所述的互连结构,其中所述无机介电材料为HOSP、MSQ、TEOS、HSQ、MSQ-HSQ共聚物,有机硅烷或任何其它含Si的材料。
18.如权利要求1所述的互连结构,其中所述粘结增进剂形成于该底部旋涂式介电材料与该顶部旋涂式介电材料之间。
19.如权利要求1所述的互连结构,其中所述抛光停止层为一无机介电材料。
20.如权利要求1所述的互连结构,其中所述抛光停止层为一有机介电材料。
21.如权利要求1所述的互连结构,其中所述金属导体区域含有至少一种选自Al、Cu、Ag、W及其合金的导电金属。
22.如权利要求21所述的互连结构,其中所述导电金属为Cu。
23.如权利要求1所述的互连结构,其中所述金属导体区域进一步含有一衬垫材料。
24.如权利要求23所述的互连结构,其中所述衬垫材料选自TiN、TaN、Ta、Ti、W、WN、Cr、Nb以其混合物。
25.如权利要求1所述的互连结构,其中所述基板可为一介电材料、一金属区域、一粘结增进剂、一半导体晶片或它们的任意组合。
26.一种混合式低k介电材料,可适用于制作双镶嵌型的互连结构,包含一底部旋涂式介电材料及一顶部旋涂式介电材料,其中该底部及顶部旋涂式介电材料具有一约2.6或更低的介电常数、不同的原子成分,且所述旋涂式介电材料中至少之一为多孔的。
27.一种用于形成互连结构的方法,该方法包含以下步骤:
(a)在一基板表面上形成一混合式低k介电材料,该混合式低k介电材料具有一约2.6或更低的有效介电常数,并包含一底部旋涂式介电材料及一顶部旋涂式介电材料,其中该底部及顶部旋涂式介电材料具有不同的原子成分,且这两种旋涂式介电材料中至少之一为多孔的;
(b)在该混合式低k介电材料上形成一硬质掩模,该硬质掩模至少含有一抛光停止层;
(c)在该硬质掩模中形成一开口,以露出一部分该混合式低k介电材料;
(d)利用该硬质掩模作为一蚀刻掩模,在该混合式低k介电材料的露出部分中形成一沟槽;
(e)以至少一种导电金属来填充该沟槽;以及
(f)将停止于该抛光停止层的导电金属予以平面化。
28.如权利要求27所述的方法,其中所述旋涂式介电材料两者皆为多孔性介电材料。
29.如权利要求27所述的方法,其中所述顶部旋涂式介电材料为一无机介电材料,而该底部旋涂式介电材料则为一有机介电材料。
30.如权利要求29所述的方法,其中所述顶部无机介电材料为多孔的。
31.如权利要求30所述的方法,其中所述顶部无机介电材料在从约5到约80%的体积百分比孔隙率下具有从约5到约500的孔洞大小。
32.如权利要求29所述的方法,其中所述无机介电材料包括Si、O与H以及选择性地包括C。
33.如权利要求32所述的方法,其中所述无机介电材料为HOSP、MSQ、TEOS、HSQ、MSQ-HSQ共聚物,有机硅烷或任何其它含Si的材料。
34.如权利要求29所述的方法,其中所述有机介电材料包括C、O及H。
35.如权利要求34所述的方法,其中所述有机介电材料包括芳香热固性聚合树脂。
36.如权利要求27所述的方法,其中所述顶部旋涂式介电材料为一有机介电材料,而该底部旋涂式介电材料为一无机介电材料。
37.如权利要求36所述的方法,其中所述顶部有机介电材料为多孔的。
38.如权利要求37所述的方法,其中所述顶部有机介电材料在从约5到约35%的体积百分比孔隙率下具有从约1到约50纳米(nm)的孔洞大小。
39.如权利要求36所述的方法,其中所述有机介电材料包括C、O及H。
40.如权利要求39所述的方法,其中所述有机介电材料包括芳香热固性聚合树脂。
41.如权利要求36所述的方法,其中所述无机介电材料包括Si、O与H以及选择性地包括C。
42.如申请专利范围第41项所述之方法,其中所述无机介电材料为HOSP、MSQ、TEOS、HSQ、MSQ-HSQ共聚物,有机硅烷或任何其它含Si的材料。
43.如权利要求27所述的方法,其中还包含在形成该顶部旋涂式介电材料之前,在该底部旋涂式介电材料上形成一粘结增进剂。
44.如权利要求27所述的方法,其中所述混合式低k介电质是通过循序的旋涂式镀覆处理形成的,其中在各个连续旋涂处理后,会对该旋涂层进行热平板烘烤处理。
45.如权利要求27所述的方法,其中所述混合式低k介电质会在步骤(a)后,但在步骤(b)前,加以固化。
46.如权利要求27所述的方法,其中所述混合式低k介电质会在步骤(b)之后加以固化。
47.如权利要求27所述的方法,其中所述硬质掩模是由旋涂镀覆方式制成的。
48.如权利要求27所述的方法,其中所述步骤(c)包括两个光刻与蚀刻步骤。
49.如权利要求48所述的方法,其中所述蚀刻步骤选自:反应-离子蚀刻(RIE)、等离子体蚀刻与离子束蚀刻。
50.如权利要求49所述的方法,其中所述RIE含有氟基化学材料。
51.如权利要求27所述的方法,其中所述步骤(d)含有氧或还原性蚀刻处理。
52.如权利要求27所述的方法,其中所述沟槽包括一通路孔、线路或两者。
53.如权利要求27所述的方法,其中所述步骤(e)包括选自以下的淀积处理:化学气相淀积(CVD)、等离子体辅助CVD、溅射、镀层及化学溶液淀积。
54.如权利要求27所述的方法,其中还包含在填充该导电金属之前,在该沟槽内淀积一衬垫材料。
55.如权利要求27所述的方法,其中所述步骤(f)包括化学机械抛光处理。
CNB018229018A 2001-02-28 2001-12-10 由二种旋涂式介电材料组成的混合式低k互连结构 Expired - Lifetime CN1261989C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/795,429 US6677680B2 (en) 2001-02-28 2001-02-28 Hybrid low-k interconnect structure comprised of 2 spin-on dielectric materials
US09/795,429 2001-02-28

Publications (2)

Publication Number Publication Date
CN1518762A true CN1518762A (zh) 2004-08-04
CN1261989C CN1261989C (zh) 2006-06-28

Family

ID=25165490

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018229018A Expired - Lifetime CN1261989C (zh) 2001-02-28 2001-12-10 由二种旋涂式介电材料组成的混合式低k互连结构

Country Status (7)

Country Link
US (1) US6677680B2 (zh)
EP (1) EP1371090A4 (zh)
JP (1) JP4790972B2 (zh)
KR (1) KR100538750B1 (zh)
CN (1) CN1261989C (zh)
TW (1) TW533544B (zh)
WO (1) WO2002071468A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1316593C (zh) * 2003-11-11 2007-05-16 海力士半导体有限公司 在半导体器件中形成金属线的方法
CN102024790B (zh) * 2009-09-22 2012-08-22 中芯国际集成电路制造(上海)有限公司 半导体器件、其制造方法以及包含其的集成电路和电子设备
WO2013023456A1 (zh) * 2011-08-15 2013-02-21 中国科学院微电子研究所 同时制备垂直导通孔和第一层再布线层的方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7425346B2 (en) * 2001-02-26 2008-09-16 Dielectric Systems, Inc. Method for making hybrid dielectric film
US7011864B2 (en) * 2001-09-04 2006-03-14 Tokyo Electron Limited Film forming apparatus and film forming method
US7183195B2 (en) 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
TWI278962B (en) * 2002-04-12 2007-04-11 Hitachi Ltd Semiconductor device
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US20040191417A1 (en) * 2003-03-28 2004-09-30 Dorie Yontz Method of integrating a porous dielectric in an integrated circuit device
JP2005244031A (ja) * 2004-02-27 2005-09-08 Nec Electronics Corp 半導体装置およびその製造方法
US7015150B2 (en) * 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
JP4878779B2 (ja) 2004-06-10 2012-02-15 富士フイルム株式会社 膜形成用組成物、絶縁膜及び電子デバイス
US20070042609A1 (en) * 2005-04-28 2007-02-22 Senkevich John J Molecular caulk: a pore sealant for ultra-low k dielectrics
US7361541B2 (en) 2005-07-27 2008-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Programming optical device
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
US20070278682A1 (en) * 2006-05-31 2007-12-06 Chung-Chi Ko Self-assembled mono-layer liner for cu/porous low-k interconnections
US7544608B2 (en) * 2006-07-19 2009-06-09 International Business Machines Corporation Porous and dense hybrid interconnect structure and method of manufacture
US7466027B2 (en) * 2006-09-13 2008-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures with surfaces roughness improving liner and methods for fabricating the same
US7723226B2 (en) * 2007-01-17 2010-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio
US7947565B2 (en) 2007-02-07 2011-05-24 United Microelectronics Corp. Forming method of porous low-k layer and interconnect process
US7629264B2 (en) * 2008-04-09 2009-12-08 International Business Machines Corporation Structure and method for hybrid tungsten copper metal contact
US8786050B2 (en) 2011-05-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage resistor with biased-well
US8664741B2 (en) 2011-06-14 2014-03-04 Taiwan Semiconductor Manufacturing Company Ltd. High voltage resistor with pin diode isolation
US9373619B2 (en) 2011-08-01 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage resistor with high voltage junction termination
US8994178B2 (en) 2012-03-29 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
KR20140083696A (ko) 2012-12-26 2014-07-04 제일모직주식회사 반도체 소자의 듀얼 다마신 구조 형성 방법 및 그에 따른 반도체 소자 디바이스
US10396042B2 (en) 2017-11-07 2019-08-27 International Business Machines Corporation Dielectric crack stop for advanced interconnects
US10534888B2 (en) 2018-01-03 2020-01-14 International Business Machines Corporation Hybrid back end of line metallization to balance performance and reliability
US10475753B2 (en) 2018-03-28 2019-11-12 International Business Machines Corporation Advanced crack stop structure
US10490513B2 (en) 2018-03-28 2019-11-26 International Business Machines Corporation Advanced crack stop structure

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3399252B2 (ja) * 1996-10-03 2003-04-21 ソニー株式会社 半導体装置の製造方法
US6197696B1 (en) * 1998-03-26 2001-03-06 Matsushita Electric Industrial Co., Ltd. Method for forming interconnection structure
US6232235B1 (en) * 1998-06-03 2001-05-15 Motorola, Inc. Method of forming a semiconductor device
TW437040B (en) * 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
US6410149B1 (en) * 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
JP2000150516A (ja) * 1998-09-02 2000-05-30 Tokyo Electron Ltd 半導体装置の製造方法
US6071809A (en) 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
JP2000106396A (ja) * 1998-09-29 2000-04-11 Sharp Corp 半導体装置の製造方法
US6312874B1 (en) * 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
US6153514A (en) * 1999-01-04 2000-11-28 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6255735B1 (en) * 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6329280B1 (en) * 1999-05-13 2001-12-11 International Business Machines Corporation Interim oxidation of silsesquioxane dielectric for dual damascene process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1316593C (zh) * 2003-11-11 2007-05-16 海力士半导体有限公司 在半导体器件中形成金属线的方法
CN102024790B (zh) * 2009-09-22 2012-08-22 中芯国际集成电路制造(上海)有限公司 半导体器件、其制造方法以及包含其的集成电路和电子设备
WO2013023456A1 (zh) * 2011-08-15 2013-02-21 中国科学院微电子研究所 同时制备垂直导通孔和第一层再布线层的方法

Also Published As

Publication number Publication date
KR20030079994A (ko) 2003-10-10
CN1261989C (zh) 2006-06-28
WO2002071468A1 (en) 2002-09-12
US6677680B2 (en) 2004-01-13
TW533544B (en) 2003-05-21
JP2004523910A (ja) 2004-08-05
KR100538750B1 (ko) 2005-12-26
JP4790972B2 (ja) 2011-10-12
US20020117754A1 (en) 2002-08-29
EP1371090A4 (en) 2007-10-24
EP1371090A1 (en) 2003-12-17

Similar Documents

Publication Publication Date Title
CN1261989C (zh) 由二种旋涂式介电材料组成的混合式低k互连结构
CN1219323C (zh) 一种互连结构及其制作方法
CN1505834A (zh) 包含多层旋涂多孔介电质的低k互连结构
CN1314101C (zh) 具有混合电介质的可靠低k互连结构
CN1306590C (zh) 采用牺牲金属氧化物层形成双镶嵌金属互连的方法
CN1297000C (zh) 包含应力调节覆盖层的互连结构及其制造方法
US20080146029A1 (en) Method of forming an interconnect structure
CN1672250A (zh) 改进的beol互连结构中的双层hdpcvd/pe cvd帽层及其方法
CN1591858A (zh) 超低介电常数多孔材料的双重镶嵌集成
CN1835206A (zh) 利用保护性通路盖层形成半导体器件的双镶嵌布线的方法
CN1838382A (zh) 制造半导体器件的方法
CN1677643A (zh) 具有含气隙的镶嵌结构的半导体器件的制造方法
US6724069B2 (en) Spin-on cap layer, and semiconductor device containing same
US6537908B2 (en) Method for dual-damascence patterning of low-k interconnects using spin-on distributed hardmask
CN1199266C (zh) 半导体器件及其制造方法
US6548901B1 (en) Cu/low-k BEOL with nonconcurrent hybrid dielectric interface
JP3657576B2 (ja) 半導体装置の製造方法
US20020173079A1 (en) Dual damascene integration scheme using a bilayer interlevel dielectric

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171101

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171101

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20060628