CN1219323C - 一种互连结构及其制作方法 - Google Patents

一种互连结构及其制作方法 Download PDF

Info

Publication number
CN1219323C
CN1219323C CNB021052964A CN02105296A CN1219323C CN 1219323 C CN1219323 C CN 1219323C CN B021052964 A CNB021052964 A CN B021052964A CN 02105296 A CN02105296 A CN 02105296A CN 1219323 C CN1219323 C CN 1219323C
Authority
CN
China
Prior art keywords
layer
dielectric
low
spinning
dielectrics
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB021052964A
Other languages
English (en)
Other versions
CN1373512A (zh
Inventor
斯蒂芬·M.·盖茨
杰弗里·C.·罕德里克
萨特亚纳拉雅纳·V.·尼塔
山帕施·珀舍沙曼
克里斯蒂·S.·蒂伯格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1373512A publication Critical patent/CN1373512A/zh
Application granted granted Critical
Publication of CN1219323C publication Critical patent/CN1219323C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种包括带有蚀刻图案的多层旋压电介质层的互连结构及用于制作该互连结构的方法。这种互连结构包括在一个衬底表面上形成的带有蚀刻图案的多层旋压电介质层。该带有蚀刻图案的多层旋压电介质层包括底层低k电介质层、埋入阻蚀层和顶层低k电介质层,其中,底层和顶层低k电介质层具有第一成分,所述埋入阻蚀层具有与第一成分不同的第二成分,且该埋入阻蚀层与所述顶层和底层低k电介质层以共价键形式键合。该互连结构还包括一层在带有蚀刻图案的多层旋压电介质层上形成的抛光阻挡层;和一些在带有蚀刻图案的多层旋压电介质层中形成的金属导电区。共价键合用一种有机硅烷实现,该有机硅烷包括一些能与顶层和底层电介质层键合的功能团。

Description

一种互连结构及其制作方法
技术领域
本发明涉及高速微处理器、专用集成电路(AISC)以及其他高速集成电路(IC)的互连结构。本发明提供了一种低介电常数(即低k)的互连结构,这种互连结构具有更快的线路速度、精确的导体电阻值和更低的制作成本。此外,与现有技术的互连结构相比,本发明的结构具有更低的有效介电常数和对金属布线电阻的更完善的控制。
背景技术
众所周知,有许多低k(其值约为3.5或更小)的电介质铜的双金属镶嵌型(damascene-type)互连结构,例如,可以参见“R.D.Goldblatt,等的‘A High Performance 0.31μm Copper BEOLTechnology with低kDielectric’,Proceedings of the InternationalInterconnect Technology Conference,IEEE Electron DevicesSociety,2000年6月5日至7日,261-263页”。这些现有技术的互连结构采用了无机和有机电介质材料作为层间或层内电介质。普遍认为,双金属镶嵌结构比单金属镶嵌结构或负极型金属(subtractive metal)结构成本低。
现有技术的双金属镶嵌互连结构有如下四个典型的主要问题:
1.铜布线厚度(也就是沟道深度)和电阻率难以控制。
2.低k电介质的热膨胀率系数(CTE)高,这将最终导致互连结构在热循环过程中失效。
3.低k电介质不能承受化学-机械抛光(CMP)过程。
4.制作该结构的成本高。
在制作现有技术的互连结构的过程中,转化为金属连线导体厚度的沟道的深度(通过金属填充和CMP)通常难以控制,而且沟道底部表面也凹凸不平。这在对多孔介质进行反应式离子蚀刻(RIE)时尤为明显。通常,利用时控反应式离子蚀刻(RIE)过程来蚀刻沟道,以便定时控制沟道深度。对特征尺寸(指沟道宽度)的蚀刻速率总在不停地变化,甚至在同一晶片上的不同部位也不一样,这势必导致沟道深度的很大差异,进而导致金属导体电阻的很大差异。沟道底部的凹凸不平会带来较高的电容、金属极间的漏电流、串扰、噪音及功率损耗,最终导致器件性能和可靠性都较差。
解决上述问题的通常方法是增加额外的处理步骤,包括用单独的等离子增强型化学汽相淀积(PECVD)设备淀积一层分离的阻蚀层,而这将导致制作所期望的低k电介质铜互连结构的成本的增加。
此外,低k电介质铜的双金属镶嵌型互连结构在热循环测试过程中的失效,要归结于穿孔周围电介质的高CTE。再者,通常所采用的多孔的低k电介质不能有效地承受CMP。而现有技术的多孔的低k电介质往往在CMP过程中被剥离和去除。再者,制作现有技术的阻蚀层所需要的基于真空的PECVD淀积设备的购买和维护都十分昂贵。
考虑到现有技术中的上述问题,一直都在要求提供这样一种新的经改进的低k电介质,使得它在双金属镶嵌型互连结构中能克服上述缺点。
发明内容
本发明的一个目的在于,提供一种包括多层低k电介质层和金属导体的双金属镶嵌型互连结构,在这种结构中,金属导体电阻可以精确均匀地得到控制。
本发明的另一个目标在于,提供这样一种互连结构,在这种结构中,在多层低k电介质叠层中的旋压式(spin-on)电介质层之间埋入一层旋压埋入阻蚀层。
本发明的还有一个目的在于,提供这样一种互连结构,在这种结构中,多层低k电介质层中的埋入阻蚀层可以在多层电介质叠层中的底层旋压低k电介质层与顶层旋压低k电介质层间反应并形成共价键。
本发明的还有一个目的在于,提供这样一种互连结构,在这种结构中,埋入阻蚀层同样可以增强多层电介质叠层中的底层旋压低k电介质层与顶层旋压低k电介质层之间的粘合力,从而无需额外添加粘合助聚剂。
本发明的还有一个目的在于,可以精确地控制互连结构的金属导体的电阻,而无需增加额外的处理步骤和花费。
本发明的还有一个目的在于,提供一种包括多层低k电介质层的互连结构,这些电介质层是通过旋压覆盖法(spin coating)形成的,从而可以少用昂贵的基于真空的淀积设备。
在本发明中,利用在多层低k电介质层中的埋入阻蚀层可以获得所有这些和其他的目的及优点,通过引入功能有机硅烷,这层阻蚀层能与底层和顶层低k旋压电介质层进行化学键合,这种有机硅烷含有一些能与多层低k电介质层中的电介质形成共价键的功能团。
具体地说,本发明的互连结构包括:
一层衬底,其表面形成有带有蚀刻图案的多层旋压电介质层,所述带有蚀刻图案的多层旋压电介质层包括底层低k电介质层、埋入阻蚀层和顶层低k电介质层,其中,所述底层和顶层低k电介质层具有第一成分,而所述埋入阻蚀层具有与所述第一成分不同的第二成分,并且所述埋入阻蚀层与所述顶层和底层低k电介质层以共价键形式键合;
一层在所述带有蚀刻图案的多层旋压电介质层上形成的抛光阻挡层(polish stop layer);和
一些在所述带有蚀刻图案的多层旋压电介质层中形成的金属导电区。
本发明还提供了一种多层旋压电介质层,这种多层旋压电介质层包括底层低k电介质层、埋入阻蚀层和顶层低k电介质层,其中,所述底层和顶层低k电介质层具有第一成分,而所述埋入阻蚀层具有与所述第一成分不同的第二成分,并且所述埋入阻蚀层与所述顶层和底层低k电介质层以共价键形式键合。
另一方面,本发明还包括一种制作上述互连结构的方法。具体地说,本发明的方法包括以下步骤:
(a)在衬底表面形成多层旋压电介质层,所述多层旋压电介质层包括底层低k电介质层、埋入阻蚀层和顶层低k电介质层,其中,所述底层和顶层低k电介质层具有第一成分,而所述埋入阻蚀层具有与所述第一成分不同的第二成分,并且,在后续的固化处理步骤中,所述埋入阻蚀层能与所述顶层和底层低k电介质层以共价键形式键合;
(b)固化处理所述多层旋压电介质层,其中,在所述固化处理过程中,所述埋入阻蚀层与所述顶层和底层低k电介质层在进行交联反应的情况下以共价键形式键合;
(c)在所述固化的多层旋压电介质层上形成硬掩膜层(hardmask),所述硬掩膜层至少包含一层抛光阻挡层;
(d)在所述硬掩膜层上形成一个开口,以便露出一部分所述多层旋压电介质层;
(e)在所述多层旋压电介质层的所述露出部分中形成一个沟道;
(f)在所述沟道中填充至少一种导电金属;和
(g)将所述抛光阻挡层以上的所述导电金属磨平。
注意,上述方法涉及本发明的一种实施方式,其中,硬掩膜层是通过淀积处理法而不是旋压覆盖法形成的。当通过旋压覆盖形成硬掩膜层时,本发明的方法应采取如下步骤:
(a)在衬底表面形成多层旋压电介质层,所述多层旋压电介质层包括底层低k电介质层、埋入阻蚀层和顶层低k电介质层,其中,所述底层和顶层低k电介质层具有第一成分,而所述埋入阻蚀层具有与所述第一成分不同的第二成分,并且,在后续的固化处理步骤中,所述埋入阻蚀层能与所述顶层和底层低k电介质层以共价键形式键合;
(b)在所述多层旋压电介质层上形成硬掩膜层,所述硬掩膜层至少包含一层抛光阻挡层;
(c)固化处理所述硬掩膜层和所述多层旋压电介质层,其中,在所述固化处理过程中,所述埋入阻蚀层与所述顶层和底层低k电介质层在进行交联反应的情况下以共价键形式键合;
(d)在所述硬掩膜层上形成一个开口,以便露出一部分所述多层旋压电介质层;
(e)在所述多层旋压电介质层的所述露出部分中形成一个沟道;
(f)在所述沟道中填充至少一种导电金属;和
(g)将所述抛光阻挡层以上的所述导电金属磨平。
注意,上述方法比起上一个方法来应优先考虑,毕竟,这种方法可以只用单个旋压覆盖设备来形成多层旋压电介质层中的各层和硬掩膜层。
附图说明
图1A-1G是通过本发明的优选处理步骤(也就是说通过旋压覆盖法来形成多层旋压电介质层和硬掩膜层)实现的本发明的互连结构的剖面图。
具体实施方式
本发明所提供的是一种多层旋压电介质层,它包含有至少一层能与所述多层中的底层和顶层旋压电介质层以共价键形式键合的埋入阻蚀层,下面我们将参照本发明的附图进行详述。注意,在本申请的附图中,相似和相应的标号表示相似和相应的单元。
先看图1A,图中示出了本发明所采用的结构的最初形态。具体地说,图1A中所示的结构包括衬底10,在衬底表面形成有多层旋压电介质层12。本发明中所用的多层旋压电介质层的有效介电常数约为3.5或更小,而有效介电常数最好是在约1.4到约3.0之间。根据本发明,多层旋压电介质层包括底层低k电介质层14、埋入阻蚀层16和顶层低k电介质层18。
本发明中所采用的多层旋压电介质层包括:具有第一成分的顶层和底层旋压低k(其值约为3.5或更小)电介质层,和具有与第一成分不同的第二成分的埋入阻蚀层。注意,多层中的底层旋压电介质层是用作互连结构的穿孔层,而顶层旋压电介质层是用作互连结构的布线层。本发明中,埋入阻蚀层具有双重作用:一是它起着普通阻蚀层的作用,二是它为顶层和底层旋压电介质层之间提供更强的粘合力。
本发明的埋入阻蚀层(将在后面详述)是由一种能在顶层与底层旋压电介质之间形成共价键的材料制成的。利用一种功能有机硅烷可以实现共价键合,这种有机硅烷包括一些能与旋压电介质层键合的功能团。下面将详述构成本发明的互连结构的有机硅烷埋入阻蚀层以及其他成分。
本发明中所采用的衬底10可以包括互连结构中常用的任何常规材料。因此,例如,衬底10可以是电介质(层间或层内)、布线层、粘合助聚剂、半导体晶片或它们的任意组合。当半导体晶片被用作衬底时,晶片上可以包括形成于其上的各种各样的电路和/或器件。
然后,利用本领域技术人员所熟知的常规旋压覆盖处理步骤,在衬底10的表面上形成多层旋压电介质层12,在旋压处理后,对每层都进行热平板烘烤(hot plate bake)处理,烘烤过程被控制在足以除去旋压电介质层上的残余溶剂并且部分交联电介质层的情况下进行。
多层电介质结构中的底层和顶层旋压电介质层是由相同的或不同的低k有机或无机电介质构成的。这里,术语“低k”用来表示介电常数介于约1.1到约3.5之间,有效介电常数最好是在约1.4到约3.0之间。当采用有机低k电介质时,有机电介质包括组成元素为C、O和H的化合物。举例来说,本发明中可以采用的有机低k电介质包括(但并不局限于此):芳香族热硬化性聚合树脂,如Dow Chemical公司以SiLK商标出售的树脂、Honeywell公司以Flare商标出售的树脂和其它供应商提供的类似树脂,以及其他相似的有机电介质。注意,本发明中所采用的有机电介质可以是多孔的也可以不是多孔的。当采用多孔的低k有机电介质时,多孔的有机电介质的孔径可以不同,但一般采用的是孔径在约1nm到约50nm而孔穴体积占约5%到约35%的有机电介质。同样,底层电介质层(穿孔层)可以是无孔的,而顶层电介质层(布线层)可以是多孔的。
当底层和顶层旋压电介质层由无机电介质层构成时,无机电介质层通常包括Si、O和H以及可选择的C。本发明中可以采用的一种无机电介质的一个例子是倍半硅氧烷(silsesquioxane)HOSP(Honeywell公司出售的一种含硅无机电介质)。本发明中可以采用的其它类型的无机电介质包括(但并不局限于此):甲基倍半硅氧烷(MSQ)、四乙基原硅烷(TEOS)、氢倍半硅氧烷(HSQ)、MSQ-HSQ、有机硅烷和其他任何含硅材料。本发明慎重采用多孔或无孔的无机电介质。尽管无机旋压电介质的孔径对本发明而言并不重要,但本发明中所采用的无机旋压电介质的孔径一般应介于约5到约500之间,而孔穴体积占约5%至约80%,孔径最好是约10到约200,而孔穴体积最好是占约10%至约50%。
在本发明的一种实施方式中,最好采用有机电介质制作多层结构中的顶层和底层。在该实施方式中,最好采用多孔有机顶层电介质。
在本发明的另一种实施方式中,顶层和底层旋压电介质层都由无机电介质(多孔或无孔)构成。在本发明的还有一种实施方式中,顶层低k电介质采用有机电介质,而底层低k电介质采用无机电介质。或者,也可把有机电介质用作底层低k电介质,而把无机电介质用作顶层低k电介质。
本发明中所采用的多层旋压电介质层还包含夹在底层和顶层低k电介质层间的埋入阻蚀层。本发明中所用的这层埋入阻蚀层是采用本领域技术人员所熟知的常规旋压覆盖处理过程所制成的。根据本发明,埋入阻蚀层是由一种在后续固化处理步骤中能与底层和用层低k电介质层形成共价键的材料所制成的。注意,这层埋入阻蚀层还可以增强底层和顶层低k电介质层的粘合力。具体地说,本发明中所采用的该埋入阻蚀层包括的有机硅烷具有如下结构式:
其中,X是能够发生Diels-Alder反应或自由基反应的可聚合团,它可以是烯烃、亚降冰片烯基、乙烯基或炔基;R1和R2可以相同也可以不同,它们可以是H、烷基、烷氧基、烷基酯、烯基、炔基、芳香基或环烷基;R3可以是烷基或-C(O)R4基,其中R4是烷基;a和b可以是0、1或2,它们可以相同也可不同,而y取自1-3,这里a+b+y的和应等于3。
在本发明中,可以采用各种各样的烷氧基硅烷化合物,比如:乙烯基三烷氧基硅烷,烯丙基三烷氧基硅烷,乙烯基二苯基烷氧基硅烷,降冰片烯基三烷氧基硅烷和三乙烯基三烷氧基硅烷。一些具体的例子包括:乙烯基三乙酰氧基硅烷,乙烯基三甲氧基硅烷,乙烯基三乙氧基硅烷,丙烯基三甲氧基硅烷,乙烯基二苯基乙氧基硅烷,降冰片烯基三乙氧基硅烷和三乙烯基三乙氧基硅烷。在本发明中可以采用的各种烷氧基硅烷化合物中,最好使用乙烯基三烷氧基硅烷,比如:乙烯基三乙酰氧基硅烷,乙烯基三甲氧基硅烷和乙烯基二苯基乙氧基硅烷。在这些乙烯基三烷氧基硅烷中,尤为推荐使用乙烯基三乙酰氧基硅烷。
如果不希望被任何理论所束缚的话,可以这么认为:在随后的固化处理步骤中,旋压有机硅烷首先进行水解反应,其中R基团从有机硅烷中被移去,然后,XSi(OH)3功能团发生单聚合反应(或缩合反应)形成SiO1.5X链网。X功能团也同样可以进行自由基或Diels-Alder反应,从而与底层和顶层低k电介质形成共价键。
在底层低k电介质层上加上埋入阻蚀层后,通常进行一次热平板烘烤处理以除去残余溶剂并部分交联阻蚀层,从而使其不溶解,然后在它上面形成顶层旋压电介质层。通常,在本发明中,埋入阻蚀层是用含固体溶质为约1.0%到约10%的溶液制成的。
根据本发明,多层旋压电介叠质层中的底层旋压电介质层的厚度为约500到约10000,厚度最好选用约900到约3000。本发明的多层旋压电介叠质层中的埋入阻蚀层的厚度通常为约25到约1500,厚度最好选用约100到约300。至于顶层旋压电介质层,其厚度通常为约500到约10000,厚度最好选用约1000到约3000。
此时,可以对包含顶层和底层旋压电介质层及有机硅烷埋入阻蚀层的多层旋压电介质层进行固化处理了,或者,如果硬掩膜层也是由旋压电介质制成的话,那么,多层电介质层和硬掩膜层可以在同一固化处理步骤中进行固化。最好选用后一种实施方式,因为这可以减少整个过程中的处理步骤。下面介绍的固化处理条件同样适用于先固化后形成硬掩膜层的实施方式。
在构造完图1A中所示的结构后,在多层旋压电介质层的最表层也就是顶层旋压介电质层18上形成硬掩膜层20。根据本发明,硬掩膜层20至少包括抛光阻挡层22和蚀刻图案层24。图1B中所示的硬掩膜层可以通过常规PECVD过程形成,或者最好是硬掩膜层20中的每层都用旋压覆盖法形成。最好采用旋压覆盖法形成各层,因为这可以减少在整个过程中所用的淀积设备数,从而也就降低了总制作成本。另外,尽管图示中只描绘了硬掩膜层中的两层,然而硬掩膜层可以包含不止两层。
制作硬掩膜层所采用的材料可以不同,这取决于它们相对于与其紧邻的下面一层的蚀刻选择性。例如,本发明中所采用的蚀刻图案层其材料相对于它下面的抛光阻挡层要有较高的蚀刻选择性(约为10∶1或更高)。另一方面,抛光阻挡层的材料相对于它下面的顶层旋压电介质层也要有较高的蚀刻选择性,同时其介电常数又不能明显增大多层旋压电介质层的有效介电常数。
相应地,蚀刻图案层可以包括有机或无机电介质,而抛光阻挡层可以包括无机或有机电介质。每一层的确切属性首先取决于顶层旋压低k电介质层,然后取决于抛光阻挡层。例如,如果顶层旋压电介质是有机电介质,那么抛光阻挡层通常是无机电介质而蚀刻图案层通常用有机电介质层构成。硬掩膜层中每层的厚度可以不同,这对本发明而言并不重要。不过,蚀刻图案层的厚度一般为约100到约3000,而抛光阻挡层的厚度一般为约100到约1000
在制成硬掩膜层后,就可以将硬掩膜层(即抛光阻挡层和蚀刻图案层)以及它下面的多层旋压电介质层一起进行一次固化处理,该固化处理步骤在本领域技术人员所熟知的常规条件下进行。如果所有的电介质层都是由旋压电介质制成的,则仅需一次固化处理。固化处理步骤可以包括热平板烘烤或炉烘。在本发明中,最好选用炉烘固化处理步骤。尽管固化处理的条件可能不同,但热平板烘烤一般是在约250℃到约500℃的温度下烘烤约30秒到约500秒,而炉烘一般是在约200℃到约500℃的温度下烘烤约15分钟到约3个小时。再强调一下,如果硬掩膜层不是由旋压电介质制成的话,那么可以先进行固化再进行硬掩膜层淀积。此外,由于最好采用旋压式硬掩膜层,因此,附图和后续描述都是针对这种实施方式的。注意,尽管如此,这些附图及后续描述同样适用于那些不是旋压覆盖法制成的硬掩膜层。
经固化处理的各层如图1C所示,它们被标记为:经固化处理的多层旋压电介质层12′,它包括经固化处理的顶层旋压电介质层18′、经固化处理的埋入阻蚀层16′和经固化处理的底层旋压电介质层14′;和经固化处理的硬掩膜层20′,它包括经固化处理的抛光阻挡层22′和经固化处理的蚀刻图案层24′。注意,在固化过程中,埋入阻蚀层与底层和顶层旋压低k电介质层之间形成了共价键。在固化处理完多层旋压电介质层和可选的硬掩膜层之后,再对图1C所示的结构进行第一平版印刷术处理和蚀刻处理,从而在经固化处理的蚀刻图案层24′上形成一个开口26,如图1D中所示。具体地说,图1D中所示的结构的构造过程如下:首先,利用本领域技术人员所熟知的常规淀积过程,在经固化处理的蚀刻图案层上形成一层用来对蚀刻图案层绘制图案的光刻胶(图中未示出)。然后,将光刻胶暴露在图案化的射线下,此后再使用常规的抗蚀显影剂在光刻胶上显影出该图案。
在显影出抗蚀图案后,在硬掩膜层上形成开口26,以便暴露出一部分下面的抛光阻挡层。具体地说,该开口是通过常规干蚀处理方法获得的,干蚀处理方法包括(但并不局限于此):反应式离子蚀刻法(RIE)、等离子蚀刻法和离子束蚀刻法。在这些不同的干蚀法中,最好采用含有氟基化学反应的RIE。经过这一蚀刻步骤之后,利用本领域技术人员所熟知的常规剥离过程,从该结构体上剥去绘有图案的光刻胶。图1D示出了通过第一次平版印刷术处理和蚀刻处理步骤后得到的最终结构。
从结构体上剥去光刻胶之后,将一层新的光刻胶(未示出)覆盖在图1D中所示的结构上。接着,再对这层新的光刻胶进行第一平版印刷术处理和蚀刻处理,从而在该结构体上提供第二个开口28,以暴露出一部分经固化处理的多层旋压电介质层12′的表面。第二次蚀刻步骤包括上述干蚀处理过程之一。在这些不同的干蚀法中,最好采用含有氟基化学反应的RIE。在完成了暴露出经固化处理的多层旋压电介质层的第二次蚀刻处理之后,利用常规剥离过程从该结构体上剥去第二层光刻胶,最终结构如图1E中所示。
图1F示出了在硬掩膜层上形成的蚀刻图案转印到多层旋压电介质层上之后的结构。具体地说,在多层旋压电介质层上形成沟道30的蚀刻图案转印步骤,是利用包括氧化或还原化学反应的干蚀法来实现的。根据本发明,沟道30可以是穿孔也可以是连线,或者既是穿孔又是连线。
在蚀刻图案转印到多层旋压电介质层上以后,将沟道填充上导电金属34并磨平,从而得到如图1G中所示的结构。在填充导电金属之前,可在沟道中形成一层衬垫材料32(这是可选的步骤,但最好采用这一步骤)。本文中,术语“导电金属”是指互连结构中常用的铝(Al)、铜(Cu)、钨(W)、银(Ag)以及其它类似金属中的一种。这里,也可以采用这些导电金属的合金,比如AL-Cu合金。在本发明中,最好选用金属铜。在沟道中填充金属时,可采用常规淀积处理方法,比如化学汽相淀积法(CVD)、等离子辅助CVD、电镀法、溅镀法、化学溶剂淀积法和其它类似的淀积处理法。
本发明所使用的可选衬垫材料包括任何能够阻止导电金属扩散到电介质层的材料。这种衬垫的一些例子包括(但并不局限于此):TiN、TaN、Ti、Ta、W、WN、Cr、Nb和其它类似的材料以及它们的化合物。这层衬垫材料可以采用本领域技术人员所熟知的常规淀积处理方法来形成,这些方法包括:CVD、等离子辅助CVD、溅镀法、电镀法和化学溶剂淀积法。
将沟道填充完导电金属后,再对整个结构进行常规打磨处理,比如化学-机械抛光处理(CMP),以除去抛光阻挡层以上的所有导电金属。注意,打磨处理步骤还从结构体上除去了硬掩膜层中的蚀刻图案层而不是抛光阻挡层。抛光阻挡层保留在了结构体的表面。因此,有必要选择一种其介电常数相对较低的抛光阻挡层,以便不至于增大互连结构的有效介电常数。
在本发明的这些处理步骤之后,可以通过反复执行本发明的这些步骤,在图1F所示的结构上形成另外的穿孔和布线层。这样,本发明的方法就可以用来制出其中含有一层或多层布线和穿孔层的互连结构。
尽管在这里依据本发明的一些优选实施方式具体展示和描述了本发明,然而,对本领域技术人员而言,可以理解,在不背离本发明的实质和范围的前提下,可以作出形式上和细节上的上述变化和其它变化。因此,本发明并不局限于这里所述和所示的具体形式和细节,而在于附属权利要求书的范围之内。

Claims (63)

1.一种互连结构,包括:
一层衬底,其表面形成有带有蚀刻图案的多层旋压电介质层,所述带有蚀刻图案的多层旋压电介质层包括底层低k电介质层、埋入阻蚀层和顶层低k电介质层,其中,所述底层和顶层低k电介质层具有第一成分,而所述埋入阻蚀层具有与所述第一成分不同的第二成分,并且所述埋入阻蚀层包含一种与所述顶层和底层低k电介质层以共价键形式键合的旋压有机硅烷;
一层在所述带有蚀刻图案的多层旋压电介质层上形成的抛光阻挡层;和
一些在所述带有蚀刻图案的多层旋压电介质层中形成的金属导电区。
2.权利要求1的互连结构,其特征在于,所述底层和顶层低k电介质层是由相同的或不同的电介质材料构成的,所述电介质材料是低k有机或无机电介质中的一种。
3.权利要求2的互连结构,其特征在于,所述低k有机电介质包括C、O和H。
4.权利要求3的互连结构,其特征在于,所述低k有机电介质是一种芳香族热硬化性聚合材料。
5.权利要求2的互连结构,其特征在于,所述无机电介质包括Si、O和H以及可选择的C。
6.权利要求5的互连结构,其特征在于,所述无机电介质是HOSP、甲基倍半硅氧烷、TEOS、氢倍半硅氧烷、甲基倍半硅氧烷-氢倍半硅氧烷共聚物或其他任何含硅材料。
7.权利要求1的互连结构,其特征在于,所述底层和顶层低k电介质均由有机电介质材料构成。
8.权利要求1的互连结构,其特征在于,所述底层和顶层低k电介质是多孔或无孔的。
9.权利要求1的互连结构,其特征在于,所述旋压有机硅烷具有如下结构式:
其中,X是能够发生Diels-Alder反应或自由基反应的可聚合团,它可以是烯烃、亚降冰片烯基、乙烯基或炔基;R1和R2可以相同也可以不同,它们可以是H、烷基、烷氧基、烷基酯、烯基、炔基、芳香基或环烷基;R3可以是烷基或-C(O)R4基,其中R4是烷基;a和b可以是0、1或2,它们可以相同也可不同,而y取值1-3,这里a+b+y的和应等于3。
10.权利要求9的互连结构,其特征在于,所述旋压有机硅烷是乙烯基三烷氧基硅烷,烯丙基三烷氧基硅烷,乙烯基二苯基烷氧基硅烷,降冰片烯基三烷氧基硅烷或三乙烯基三烷氧基硅烷。
11.权利要求10的互连结构,其特征在于,所述旋压有机硅烷是乙烯基三乙酰氧基硅烷,乙烯基三甲氧基硅烷,乙烯基三乙氧基硅烷,丙烯基三甲氧基硅烷,乙烯基二苯基乙氧基硅烷,降冰片烯基三乙氧基硅烷或三乙烯基三乙氧基硅烷。
12.权利要求1的互连结构,其特征在于,所述抛光阻挡层由低k旋压无机或有机电介质构成。
13.权利要求1的互连结构,其特征在于,所述衬底是电介质、粘合助聚剂、半导体晶片或它们的任意组合。
14.权利要求1的互连结构,其特征在于,所述金属导电区包括至少一种导电金属,导电金属选自Al、W、Cu、Ag以及这些导电金属的合金。
15.权利要求14的互连结构,其特征在于,所述导电金属是Cu。
16.权利要求1的互连结构,其特征在于,所述金属导电区还包括衬垫材料。
17.权利要求16的互连结构,其特征在于,所述衬垫材料选自TaN、TiN、Ta、Ti、W、WN、Cr、Nb和这些材料的组合。
18.权利要求1的互连结构,其特征在于,所述金属导电区可以包括穿孔、连线,或者是穿孔和连线的组合。
19.一种用于制作互连结构的多层旋压电介质层,所述多层旋压电介质层包括底层低k电介质层、埋入阻蚀层和顶层低k电介质层,其中,所述底层和顶层低k电介质层具有第一成分,而所述埋入阻蚀层具有与所述第一成分不同的第二成分,并且所述埋入阻蚀层包含一种与所述顶层和底层低k电介质层以共价键形式键合的旋压有机硅烷。
20.一种用于制作互连结构的方法,该方法包括如下步骤:
(a)在衬底表面形成多层旋压电介质层,所述多层旋压电介质层包括底层低k电介质层、埋入阻蚀层和顶层低k电介质层,其中,所述底层和顶层低k电介质层具有第一成分,而所述埋入阻蚀层具有与所述第一成分不同的第二成分,并且,在后续的固化处理步骤中,所述埋入阻蚀层由其包含的旋压有机硅烷与所述顶层和底层低k电介质层以共价键形式键合;
(b)固化处理所述多层旋压电介质层,其中,在所述固化处理过程中,所述埋入阻蚀层与所述顶层和底层低k电介质层在进行交联反应的情况下以共价键形式键合;
(c)在所述固化的多层旋压电介质层上形成硬掩膜层,所述硬掩膜层至少包含一层抛光阻挡层;
(d)在所述硬掩膜层上形成一个开口,以便露出一部分所述多层旋压电介质层;
(e)在所述多层旋压电介质层的所述露出部分中形成一个沟道;
(f)在所述沟道中填充至少一种导电金属;和
(g)将所述抛光阻挡层以上的所述导电金属磨平。
21.权利要求20的方法,其特征在于,步骤(a)包括后续的旋压覆盖处理步骤,随后,以足以除去所述旋压层上的残余溶剂并且部分交联所述旋压层的温度进行热平板烘烤处理。
22.权利要求20的方法,其特征在于,所述底层和顶层低k电介质层是由相同的或不同的电介质材料构成的,所述电介质材料选自低k有机或无机电介质。
23.权利要求22的方法,其特征在于,所述低k有机电介质包括C、O和H。
24.权利要求23的方法,其特征在于,所述低k有机电介质是一种芳香族热硬化性聚合材料。
25.权利要求22的方法,其特征在于,所述无机电介质包括Si、O和H以及可选择的C。
26.权利要求25的方法,其特征在于,所述无机电介质是HOSP、甲基倍半硅氧烷、TEOS、氢倍半硅氧烷、甲基倍半硅氧烷-氢倍半硅氧烷共聚物或其他任何含硅材料。
27.权利要求20的方法,其特征在于,所述底层和顶层低k电介质均由有机电介质材料构成。
28.权利要求20的方法,其特征在于,所述底层和顶层低k电介质是多孔或无孔的。
29.权利要求20的方法,其特征在于,所述旋压有机硅烷具有如下结构式:
其中,X是能够发生Diels-Alder反应或自由基反应的可聚合团,它可以是烯烃、亚降冰片烯基、乙烯基或炔基;R1和R2可以相同也可以不同,它们可以是H、烷基、烷氧基、烷基酯、烯基、炔基、芳香基或环烷基;R3可以是烷基或-C(O)R4基,其中R4是烷基;a和b可以是0、1或2,它们可以相同也可不同,而y取值1-3,这里a+b+y的和应等于3。
30.权利要求29的方法,其特征在于,所述旋压有机硅烷是乙烯基三烷氧基硅烷,烯丙基三烷氧基硅烷,乙烯基二苯基烷氧基硅烷,降冰片烯基三烷氧基硅烷或三乙烯基三烷氧基硅烷。
31.权利要求30的方法,其特征在于,所述旋压有机硅烷是乙烯基三乙酰氧基硅烷,乙烯基三甲氧基硅烷,乙烯基三乙氧基硅烷,丙烯基三甲氧基硅烷,乙烯基二苯基乙氧基硅烷,降冰片烯基三乙氧基硅烷或三乙烯基三乙氧基硅烷。
32.权利要求20的方法,其特征在于,所述固化处理步骤是一种热平板烘烤过程,该过程的操作是在250℃到500℃的温度下烘烤30秒到500秒。
33.权利要求20的方法,其特征在于,所述固化处理步骤是一种炉烘过程,该过程的操作是在200℃到500℃的温度下烘烤15分钟到3个小时。
34.权利要求20的方法,其特征在于,所述硬掩膜层是通过等离子增强型化学汽相淀积过程形成的。
35.权利要求20的方法,其特征在于,步骤(d)包括两次平版印刷术处理和蚀刻处理步骤。
36.权利要求35的方法,其特征在于,所述蚀刻处理步骤包括反应式离子蚀刻处理、离子束蚀刻处理和等离子蚀刻处理。
37.权利要求36的方法,其特征在于,所述蚀刻处理是含有氟基化学反应的反应式离子蚀刻。
38.权利要求20的方法,其特征在于,步骤(e)包括具有氧化或还原化学反应的蚀刻步骤。
39.权利要求20的方法,其特征在于,在用所述导电金属填充所述沟道之前,可在沟道表面形成一层衬垫材料。
40.权利要求20的方法,其特征在于,所述导电金属是Cu。
41.权利要求20的方法,其特征在于,步骤(g)包括化学-机械抛光处理。
42.一种用于制作互连结构的方法,该方法包括如下步骤:
(a)在衬底表面形成多层旋压电介质层,所述多层旋压电介质层包括底层低k电介质层、埋入阻蚀层和顶层低k电介质层,其中,所述底层和顶层低k电介质层具有第一成分,而所述埋入阻蚀层具有与所述第一成分不同的第二成分,并且,在后续的固化处理步骤中,所述埋入阻蚀层中包含的一种旋压有机硅烷与所述顶层和底层低k电介质层以共价键形式键合;
(b)在所述多层旋压电介质层上形成硬掩膜层,所述硬掩膜层至少包含一层抛光阻挡层;
(c)固化处理所述硬掩膜层和所述多层旋压电介质层,其中,在所述固化处理过程中,所述埋入阻蚀层与所述顶层和底层低k电介质层在进行交联反应的情况下以共价键形式键合;
(d)在所述硬掩膜层上形成一个开口,以便露出一部分所述多层旋压电介质层;
(e)在所述多层旋压电介质层的所述露出部分中形成一个沟道;
(f)在所述沟道中填充至少一种导电金属;和
(g)将所述抛光阻挡层以上的所述导电金属磨平。
43.权利要求42的方法,其特征在于,步骤(a)包括后续的旋压覆盖处理步骤,随后,以足以除去所述旋压层上的残余溶剂或部分交联旋压层的温度进行热平板烘烤处理。
44.权利要求42的方法,其特征在于,所述底层和顶层低k电介质层是由相同的或不同的电介质材料构成的,所述电介质材料选自低k有机或无机电介质。
45.权利要求44的方法,其特征在于,所述低k有机电介质包括C、O和H。
46.权利要求45的方法,其特征在于,所述低k有机电介质是一种芳香族热硬化性聚合材料。
47.权利要求44的方法,其特征在于,所述无机电介质包括Si、O和H以及可选择的C。
48.权利要求47的方法,其特征在于,所述无机电介质是HOSP、甲基倍半硅氧烷、TEOS、氢倍半硅氧烷、甲基倍半硅氧烷-氢倍半硅氧烷共聚物或其他任何含硅材料。
49.权利要求42的方法,其特征在于,所述底层和顶层低k电介质均由有机电介质材料构成。
50.权利要求42的方法,其特征在于,所述底层和顶层低k电介质是多孔或无孔的。
51.权利要求42的方法,其特征在于,所述旋压有机硅烷具有如下结构式:
其中,X是能够发生Diels-Alder反应或自由基反应的可聚合团,它可以是烯烃、亚降冰片烯基、乙烯基或炔基;R1和R2可以相同也可以不同,它们可以是H、烷基、烷氧基、烷基酯、烯基、炔基、芳香基或环烷基;R3可以是烷基或-C(O)R4基,其中R4是烷基;a和b可以是0、1或2,它们可以相同也可不同,而y取值1-3,这里a+b+y的和应等于3。
52.权利要求51的方法,其特征在于,所述旋压有机硅烷是乙烯基三烷氧基硅烷,烯丙基三烷氧基硅烷,乙烯基二苯基烷氧基硅烷,降冰片烯基三烷氧基硅烷或三乙烯基三烷氧基硅烷。
53.权利要求52的方法,其特征在于,所述旋压有机硅烷是乙烯基三乙酰氧基硅烷,乙烯基三甲氧基硅烷,乙烯基三乙氧基硅烷,丙烯基三甲氧基硅烷,乙烯基二苯基乙氧基硅烷,降冰片烯基三乙氧基硅烷或三乙烯基三乙氧基硅烷。
54.权利要求42的方法,其特征在于,所述固化处理步骤是一种热平板烘烤过程,该过程的操作是在250℃到500℃的温度下烘烤30秒到500秒。
55.权利要求42的方法,其特征在于,所述固化处理步骤是一种炉烘过程,该过程的操作是在200℃到500℃的温度下烘烤15分钟到3个小时。
56.权利要求42的方法,其特征在于,所述硬掩膜层是通过旋压覆盖处理形成的。
57.权利要求42的方法,其特征在于,步骤(d)包括两次平版印刷术处理和蚀刻处理步骤。
58.权利要求57的方法,其特征在于,所述蚀刻处理步骤包括反应式离子蚀刻处理、离子束蚀刻处理和等离子蚀刻处理。
59.权利要求58的方法,其特征在于,所述蚀刻处理是含有氟基化学反应的反应式离子蚀刻。
60.权利要求42的方法,其特征在于,步骤(e)包括具有氧化或还原化学反应的蚀刻步骤。
61.权利要42的方法,其特征在于,在用所述导电金属填充所述沟道之前,可在沟道表面形成一层衬垫材料。
62.权利要求42的方法,其特征在于,所述导电金属是Cu。
63.权利要求42的方法,其特征在于,步骤(g)包括化学-机械抛光处理。
CNB021052964A 2001-02-28 2002-02-26 一种互连结构及其制作方法 Expired - Fee Related CN1219323C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/795,430 US6710450B2 (en) 2001-02-28 2001-02-28 Interconnect structure with precise conductor resistance and method to form same
US09/795,430 2001-02-28

Publications (2)

Publication Number Publication Date
CN1373512A CN1373512A (zh) 2002-10-09
CN1219323C true CN1219323C (zh) 2005-09-14

Family

ID=25165493

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB021052964A Expired - Fee Related CN1219323C (zh) 2001-02-28 2002-02-26 一种互连结构及其制作方法

Country Status (5)

Country Link
US (1) US6710450B2 (zh)
JP (1) JP4177582B2 (zh)
KR (1) KR100454793B1 (zh)
CN (1) CN1219323C (zh)
TW (1) TW548744B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US20040152239A1 (en) * 2003-01-21 2004-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interface improvement by electron beam process
CN100428453C (zh) * 2003-01-29 2008-10-22 国际商业机器公司 含有低k介电阻挡膜的互连结构及其制造方法
US6940173B2 (en) * 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films
US20040191417A1 (en) * 2003-03-28 2004-09-30 Dorie Yontz Method of integrating a porous dielectric in an integrated circuit device
DE10332567A1 (de) * 2003-07-11 2005-02-17 Infineon Technologies Ag Verbindung für die Bildung einer Schicht auf einem Substrat, Verfahren zur Herstellung einer Schicht auf einem Substrat und Halbleiterbauelement
US7071539B2 (en) * 2003-07-28 2006-07-04 International Business Machines Corporation Chemical planarization performance for copper/low-k interconnect structures
US7018560B2 (en) * 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US7057287B2 (en) * 2003-08-21 2006-06-06 International Business Machines Corporation Dual damascene integration of ultra low dielectric constant porous materials
JP4160489B2 (ja) * 2003-10-31 2008-10-01 株式会社東芝 半導体装置の製造方法
JP2005244031A (ja) * 2004-02-27 2005-09-08 Nec Electronics Corp 半導体装置およびその製造方法
US20050263901A1 (en) * 2004-05-27 2005-12-01 International Business Machines Corporation Semiconductor device formed by in-situ modification of dielectric layer and related methods
KR100642486B1 (ko) * 2005-06-27 2006-11-02 동부일렉트로닉스 주식회사 반도체 소자의 듀얼 다마신 배선용 트렌치 형성 방법
CN102044414B (zh) * 2009-10-13 2012-05-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US8673770B2 (en) * 2011-10-25 2014-03-18 Globalfoundries Inc. Methods of forming conductive structures in dielectric layers on an integrated circuit device
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
KR20140083696A (ko) 2012-12-26 2014-07-04 제일모직주식회사 반도체 소자의 듀얼 다마신 구조 형성 방법 및 그에 따른 반도체 소자 디바이스
US9281192B2 (en) * 2014-03-13 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMP-friendly coatings for planar recessing or removing of variable-height layers
US10121964B2 (en) * 2015-09-23 2018-11-06 Globalfoundries Singapore Pte. Ltd. Integrated magnetic random access memory with logic device
TWI747956B (zh) * 2016-09-30 2021-12-01 美商道康寧公司 橋接聚矽氧樹脂、膜、電子裝置及相關方法
CN108260302A (zh) * 2016-12-28 2018-07-06 中国科学院苏州纳米技术与纳米仿生研究所 多层柔性电路板及其制备方法
US9953927B1 (en) * 2017-04-26 2018-04-24 Globalfoundries Inc. Liner replacements for interconnect openings
US10361137B2 (en) 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI642333B (zh) 2017-10-25 2018-11-21 欣興電子股份有限公司 電路板及其製造方法
CN109714888B (zh) * 2017-10-25 2020-08-18 欣兴电子股份有限公司 电路板及其制造方法
TWI642334B (zh) 2017-10-25 2018-11-21 欣興電子股份有限公司 電路板及其製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2868167B2 (ja) * 1991-08-05 1999-03-10 インターナショナル・ビジネス・マシーンズ・コーポレイション 多重レベル高密度相互接続構造体及び高密度相互接続構造体
US5962581A (en) * 1995-04-28 1999-10-05 Kabushiki Kaisha Toshiba Silicone polymer composition, method of forming a pattern and method of forming an insulating film
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP3175691B2 (ja) * 1998-05-08 2001-06-11 日本電気株式会社 多層配線半導体装置の製造方法
US6265780B1 (en) * 1998-12-01 2001-07-24 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6184284B1 (en) * 1998-08-24 2001-02-06 The Dow Chemical Company Adhesion promoter and self-priming resin compositions and articles made therefrom
US6071809A (en) 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures

Also Published As

Publication number Publication date
US20020117737A1 (en) 2002-08-29
KR100454793B1 (ko) 2004-11-05
KR20020070631A (ko) 2002-09-10
JP2002319621A (ja) 2002-10-31
JP4177582B2 (ja) 2008-11-05
TW548744B (en) 2003-08-21
CN1373512A (zh) 2002-10-09
US6710450B2 (en) 2004-03-23

Similar Documents

Publication Publication Date Title
CN1219323C (zh) 一种互连结构及其制作方法
CN1261989C (zh) 由二种旋涂式介电材料组成的混合式低k互连结构
US6831366B2 (en) Interconnects containing first and second porous low-k dielectrics separated by a porous buried etch stop layer
TW516108B (en) Dual damascene process using oxide liner as dielectric barrier layer
CN1591858A (zh) 超低介电常数多孔材料的双重镶嵌集成
JP4401022B2 (ja) 半導体装置を製造する方法
KR100473513B1 (ko) 패터닝된 상호접속 구조물 형성 방법
US6724069B2 (en) Spin-on cap layer, and semiconductor device containing same
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof
JP3657576B2 (ja) 半導体装置の製造方法
US20020173079A1 (en) Dual damascene integration scheme using a bilayer interlevel dielectric
CN1388985A (zh) 半导体器件制造工艺
KR20060040858A (ko) 유기층 및 산화물층의 적층을 형성하는 방법 및 이를이용한 연결 배선 형성 방법

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171116

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171116

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050914

Termination date: 20190226

CF01 Termination of patent right due to non-payment of annual fee