CN1317056A - Cvd装置 - Google Patents

Cvd装置 Download PDF

Info

Publication number
CN1317056A
CN1317056A CN99810750A CN99810750A CN1317056A CN 1317056 A CN1317056 A CN 1317056A CN 99810750 A CN99810750 A CN 99810750A CN 99810750 A CN99810750 A CN 99810750A CN 1317056 A CN1317056 A CN 1317056A
Authority
CN
China
Prior art keywords
deposition
lid
showerhead
precursor
conduit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN99810750A
Other languages
English (en)
Inventor
J·施米特
F·R·张
X·S·郭
陈岭
C·玛卡德尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1317056A publication Critical patent/CN1317056A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

提供了一种淀积系统,用于进行化学气相淀积,包括带有盖子的淀积室和连接到所述盖子的蒸发器。另外,一个或多个阀门布置在所述盖子和蒸发器之间,限制前驱体物料到淀积室的流动并改进连接到所述蒸发器的前驱体物料输送系统的清除。前驱体输送系统有一个或多个导管。导管之一是可弯曲导管,具有多圈螺旋管的形式,具有扭曲弹性,适用于使盖子从淀积室上卸下,而没有破坏或损坏导管。优选的是,可弯曲的导管是30圈的螺旋管,直径约3英寸,用不锈钢管制造。另外,可弯曲的导管用透气性薄膜材料,如特氟隆、特氟隆变体或PFA 440-HP制造,然后包围在护套中。所述护套连接到压力控制单元上,使得可以进行导管及导管与护罩之间的间隙的脱气。

Description

CVD装置
本发明涉及制造集成电路的领域。本发明更具体地涉及用于在淀积工艺系统中引入工艺物料和清除物料的改进的方法和装置。
目前,铝广泛用于集成电路作为相互连接,如插头和通路。然而,更高的器件密度、更快的运行频率,和更大的模片尺寸已经产生了在相互连接结构中使用比铝更低电阻率的金属的需求。铜的低电阻率使其成为一种代替铝的有吸引力的选择。
有两种良好的淀积铜的技术,化学气相淀积(“CVD”)和物理气相淀积(“PVD”)。CVD法是希望的,因为它提供了更一致的淀积层。例如,通过使用称为Cupraselect®的前驱体实现了铜的化学气相淀积,Cupraselect®的分子式为Cu(hfac)L.Cupraselect®是Schumacher ofCarlsbad,Caiifornia的注册商标。Cupraselect®由结合到一种淀积控制化合物(如hfac)和一种热稳定化合物(L)上的铜组成。(hfac)表示六氟乙酰丙酮酸盐,(L)表示配位体碱化合物,如三甲基乙烯基硅烷(“TMVS”)。
在使用Cu(hfac)L的铜的CVD过程中,前驱体气化并流入含有晶片的淀积室中。在淀积室中,前驱体在晶片表面被注入热能。在希望的温度下,发生下列反应:
2     (方程1)
所得的铜(Cu)淀积在晶片的上表面上。反应的副产品(即Cu(hfac)2和(2L))从淀积室内清除,因为淀积室在晶片加工过程中保持真空。
Cupraselect®用于CVD产生的一个问题是物料从其液体储存瓶到进行CVD的加工室的输送。典型地,液体Cupraselect®必需首先蒸发并在储存瓶和加工室之间与载气(如氩、氦或任何其它惰性气体)混合。把蒸发器引入到输送系统中并用于改变两个环境条件(即温度或压力)之一。大多数蒸发器提高前驱体的温度,来建立希望的状态变化。遗憾的是,使温度升得太高可能在储存瓶和加工室之间的输送管线中引起前驱体的分解和随后的金属被覆(淀积)。一个实例是由Netherlands的Bornkhurst制造的、用于蒸发所述前驱体液体的CEM蒸发器。遗憾的是,这些装置仅蒸发约50-1500克Cupraselect®就发生堵塞。对于晶片制造用途来说,在晶片之间,蒸发速度必须是可重复的。
蒸发后,把Cupraselect®与载气(如氩气、氦气或任何其它惰性气体)一起泵送到加工室。这种泵送作用趋于使高浓度的TMVS离开Cupraselect,在储存瓶、输送系统和加工室之间的输送管线中留下较不稳定的铜和(hfac)。在这些条件下,可能在重要位置上发生不希望的金属覆盖或淀积。例如,可能在靠近蒸发器、阀门、加工室莲蓬头孔口等处发生金属覆盖。金属覆盖改变了这些重要的系统部件的尺寸,降低了淀积室和所得的淀积层的性能。另外,不希望的金属覆盖在淀积过程中可能剥落,致使所加工的晶片有缺陷或不能使用。所以,必须对加工室进行维护循环,更换或清洗加工室,因而降低了晶片产量。
为了提供可重复性的淀积条件,希望的是尽可能靠近加工室产生前驱体蒸气,以便减小在输送系统中的任一点产生淀积的可能性、缩短清除工艺室的时间和成本,并且最重要的是,降低淀积系统中的压力梯度。当摩擦力作用于所述蒸气时(即沿着气体通过的容器和导管的内表面),产生压力梯度。在蒸发器中希望低压,因为蒸发器的效率(从而使产量)受压力限制。另外,用于输送前驱体的部件应该最小化,以便降低成本,并促进系统在需要时的彻底清除。
因此,希望的是,提供一种在衬底加工系统中的改进前驱体物料控制的装置和方法,降低在系统内的金属覆盖和颗粒形成的可能性,并提高淀积速度。
使用本发明的装置可以改进前驱体物料的输送与蒸发,所以克服了现有技术伴随的缺点。具体地,提供了一种进行化学气相淀积的淀积系统,包括一种带有盖子的淀积室和连接到所述盖子上的蒸发器。另外,在所述盖子和蒸发器之间布置一个或多个阀门,来限制前驱体物料到淀积室的流动,并改进连接到蒸发器的前驱体物料输送系统的清除。前驱体输送系统有一个或多个导管,导管之一是可弯曲导管,其形式为多圈螺旋管的形式,具有适合于连接淀积室的盖子和蒸发器的可扭曲的弹性,从而在不切断或断开前驱体(液体)导管的情况下即可将盖子从淀积室取下。优选的是,所述可弯曲导管是三十(30)圈的螺旋管,直径约三(3)英寸,用1/8英寸不锈钢制造。
另外,所述可弯曲导管用一种由渗透性的薄膜材料(如特氟隆、特氟隆变体、或PFA440-HP)制造,然后装入护套内。所述护套第一端连接到蒸发器,第二端通过阀门连接到压力控制单元,使得导管和导管与护套之间的间隙可以脱气。
所述淀积系统还可以包含其它的特征,例如,预热模块,在前驱体物料达到蒸发器之间,加热流过导管的前驱体物料,布置在淀积室内的莲蓬头上方的遮蔽板,以及在淀积室内的前驱体物料注入系统。所有这些特征导致前驱体物料的蒸发和淀积速度的改进,并且可以在淀积室内进行低压操作方式。因此,减小了前驱体在系统中分解和不希望的淀积或形成颗粒的趋势(即除了在待加工衬底以外的其它地方)。所以,改善了系统可靠性和可重复性。
考虑结合附图的下列详细描述,可以容易地理解本发明的教导,其中:
图1表示本发明的CVD铜淀积系统的第一个实施方案;
图2表示沿图1的2-2线所看到的淀积系统的可弯曲导管的截面图;
图3表示所述淀积系统的前驱体输送系统部分;
图4表示本发明的莲蓬头和遮蔽板的详图;
图5表示本发明的另一个实施方案,在莲蓬头和遮蔽板上方引入一种注入系统;
图6a和6b表示蒸发器的另一个实施方案的详图;
图7表示对于所述前驱体输送系统的进一步改进;
图8表示操作所述淀积系统的控制系统的示意图。
为了促进理解,在可能的地方,使用相同的参考数字表示所有图中共同的相同部件。
本发明的新特征以可控的方式向淀积系统提供了前驱体物料(即用于铜CVD的Cupraselect®)的输送,而没有危害或过分增加系统的复杂性。这样的特征还提供了系统的低操作压力、改善的淀积速度和产量。本发明抑制颗粒在前驱体输送管线和淀积室内的形成。布置改进的输送系统,使得前驱体可以容易地从输送导管清除,因此,对于每次淀积,加工物料的输送可以精确地重复。虽然用通过CVD生长的铜薄膜描述了本发明,但是,熟悉该领域的技术人员将会认识到,在希望系统中保持可控且可重复的加工物料输送,以改进所得的薄膜并减少污染时,本发明可以应用于任何薄膜淀积过程。
本发明的装置的第一个实施方案表示于图1。具体地,一种淀积系统90,包括一个淀积室100,一个蒸发器120,一个前驱体输送系统130和控制系统140。可以使用的淀积室的一个实例是由Applied Materials Inc.(Santa Clara,California)制造的WxZ型淀积室,根据本发明改进来进行铜的淀积。在一个优选的实施方案中,本发明使用前驱体Cupraselect。然而,这并不排除使用熟悉CVD领域的技术人员熟知的其它前驱体和添加剂。
室100由侧壁102、底板104和盖子106确定。盖子106引入一个莲蓬头108,其中有多个孔口。淀积室100还包含加热的基座112,用于支持其上希望淀积铜的衬底116,如半导体晶片。基座112用耐用金属材料如铝或陶瓷如氮化铝或氮化硼制造。基座112还作为加热器或者散热器,并包含附加部件,来加热晶片116,或者从晶片116排出热量。例如,基座112可以提供一个或多个电阻加热器线圈113,在基座支架112内产生热量,然后传导到晶片116。环形板114包围室壁102并为覆盖环118提供支架。当蒸发的前驱体与加热的晶片接触时,铜通过CVD淀积在衬底116上,下面将更详细地解释。覆盖环118为衬底116的周边部分和下面的淀积室区域提供防护,这些区域不希望发生淀积。压力控制单元142(例如,真空泵)通过阀门138(例如节流阀)连接到加工室100,来控制室压。
在前驱体输送系统130的一个实例中,前驱体物料,如液体Cupraselect从加工物料源150之一通过一个或多个阀门148输送到固定的导管136。固定导管136连接到弯曲导管134,下面将更详细解释。弯曲导管134连接到蒸发器导管132,蒸发器导管132还连接到蒸发器120上。蒸发器120又连接到室100的盖子106上。导管132、134和136的布置非常实用,它允许液体前驱体源到蒸发器和加工室的不间断连接。在一个优选的实施方案中,导管132、134和136是单一的、连续的直径1/8英寸不锈钢管(SST)。弯曲导管134的截面图表示于图2中。SST管的弯曲导管134部分优选的是一种约30圈的直径3英寸的螺旋管。所得的螺旋管由于下面更详细描述的原因,保持可以使用的扭曲弹性。虽然描述了30圈3英寸直径的螺旋管,但是,也可以使用圈数和直径的其它组合,来产生希望的螺旋管和弹性。
用所表示并描述的前驱体系统,促进了室100的维护,而没有过分地涉及弱化或破坏来自外部源的液体输送管线。具体地,当室100打开,并且从其上卸下盖子106时,弯曲导管134的扭曲弹性允许盖子106和蒸发器120(以及上述的辅助连接部件)的悬挂,离开室100作为一个不工作的单独的单元,否则,会损坏液体输送管线(例如,管道)。当室100的维护完成后,盖子106落下并安装在室100上,而没有必要重新连接输送管线。因此,输送管线不太可能直接暴露于空气中的污染物中,这些污染物会影响输送管线以及其间的阀门中的物料流动。
另外,连接管线132、134和136可以用DuPont公司制造的Teflon®,Teflon®的变体,或者用其它透气性薄膜材料(如Swagelock公司制造的PFA440-HP)制造。因此,导管132、134和136还可以形成脱气器。具体地,如果由于载气(如氦气)的扩散在液体中产生气泡,那么,导管132、134和136可以作为选择性的薄膜,使氦气扩散通过并从液流中排出。
图3表示本发明的另一个实施方案,其中,用透气性材料制造的导管还装入护罩或护套146中。护罩在第一端302通过蒸发器120密封,在第二端通过压力控制单元142或其它类似装置密封,以便在护罩146和导管130之间对空间306抽气。用这种方式,把空间306抽气到真空,使导管通过透气性薄膜脱出气泡并排出系统130之外。抽空导管是重要的,因为它提高了液体前驱体输送的可重复性。即在导管中保持稳态流动,而不是由于气泡引起的不希望的间断的流动或涡流。另外,在脱气的同时,我们保持具有不破坏的液体管线的优点,减少了在连接处可能形成的颗粒,并增强了输送系统130的清除能力。部件数量减少导致生产成本降低,同时保持可靠性。
前驱体输送系统的另一个改进包括预热前驱体物料的能力,如图7所示意表示的。预热前驱体物料是希望的,因为它可以使得在蒸发器120处可以更快地蒸发。通过位于前驱体输送系统130中的预热模块700获得这样的条件。具体地,预热模块有加热装置704(即线圈),与导管132、134和136中的一个或多个相连。加热装置还连接到电源702。电源702可以是交流或直流和任何能把导管132、134或136中的前驱体温度提高到室温以上(20℃)但是低于蒸发器温度(约60-65℃)的任何电源。在以优选的实施方案,预热温度约为40℃。在40℃,前驱体物料保持化学稳定,但是在进入蒸发器120之前更接近蒸发温度。因此,前驱体的分解和随后的金属覆盖不可能在前驱体输送系统130中发生,并且前驱体在进入蒸发器120时快速蒸发。
仍然见图1,在系统90中任选地包括在蒸发器120和盖子106之间的阀门122。具体地,阀门122是高导通的闸阀,用于控制蒸发的前驱体和载气物料从蒸发器120到室100的流动。即,通过输送系统130输送的液体前驱体进入蒸发器120并蒸发。在Frank Chang、CharlesDornfest、Xiaoliang Jin、Lee Luo的共同转让的专利申请“化学气相淀积蒸发器”中讨论了合适的蒸发器的实例,并且以代理人档案号AMAT/2847/PDD/HiK/MBE提交。蒸发的前驱体和载气流过阀门122,到达莲蓬头108。通过莲蓬头108,把前驱体和载气输送到保持在基座112上的晶片116上。蒸发器120和阀门122靠近淀积室是有利的,因为所产生的蒸气在分散进入淀积室之前不必运行很长的距离。因此,输送线有可能更少地产生金属覆盖或堵塞。而且,蒸发器120靠近室100明显减小产生影响淀积过程的压力梯度的可能性。例如,如果淀积系统80在1.5乇的压力下运行,0.5乇的压力降足以使所淀积的薄膜的性能降低。此外,阀门122的靠近可以通过使淀积室100靠近淀积材料来提供晶片的快速加工,而没有由于远离淀积室的阀门产生的时间延迟。淀积过程的副产品可以直接抽出淀积室外,而没有额外体积的输送系统。把较少过量的加工物料送到淀积室内,导致室部件上的更少的过量淀积以及在晶片输送过程中相邻室的交叉污染。阀门的高导通率使得导管132、134和136以及室100可以快速抽气或清除。另外,高导通闸阀128可以用高导通截止阀代替,以获得相同的结果。此外,隔离截止阀128位于蒸发器120和阀门122之间,使得输送系统130可以快速清洗。
莲蓬头108还包括本淀积系统90的另一个新的特征。具体地,制造莲蓬头108,使其不仅作为所蒸发的前驱体和载气物料的分配板,而且作为二级“加热”板,捕获并重新蒸发过量的加工物料。莲蓬头108通过在莲蓬头108的盖子表面416上形成的多个凹下部分和布置在莲蓬头108上方的遮蔽板124起到这种作用。图4表示莲蓬头108的局部放大图,其中,表示了蒸气和不完全蒸发的液体的流动。具体地,完全蒸发的加工物料流402从蒸发器120和阀门122(见图1)通过,并进入室100。物料流402连续通过在遮蔽板124内的多个喷嘴并通过莲蓬头108内的多个喷嘴110。遮蔽板喷嘴144偏离莲蓬头喷嘴110,以便减少液体前驱体的污染。具体地,第一个不完全蒸发的(液体)物料流通过蒸发器120和阀门122,并被莲蓬头108顶部的凹下部分126捕获。把莲蓬头108和遮蔽板124加热到约65℃,这是适合于液体前驱体物料(即Cupraselect®)蒸发的温度。通过任何已知的可以接受的用于室部件加热的装置进行加热,例如但不局限于与远处加热的流体进行的流体交换、包含在莲蓬头108和/或遮蔽板124内或在其上的电阻加热元件414、在淀积室100内的加热灯(未表示出)等。因此,液体物料412蒸发并沿着通过莲蓬头108中的多个孔口110之一的路径406。不完全蒸发物料的流动还可以沿着路径408进行,在遮蔽板124上变成蒸发的物料412,并且继续作为蒸发的物料沿着路径410流动。理论上,改进的莲蓬头108和遮蔽板通过捕获并且二次蒸发这些液体,防止液体物料流到晶片表面。
图5表示淀积系统90的一个备选的实施方案,其中,把注入系统502引入到淀积室中,来促进蒸发的加工物料的分散。具体地,在这个备选的实施方案中,有多个在与液体加工物料源150的一个或多个连接的盖子106下面布置的注射器504。加热遮蔽板,从而代替了对于单独的热表面(如蒸发器内的电炉)的需要。因此,在莲蓬头108上产生了蒸发的加工物料的更均匀的分散方式。注入系统502的另一个优点是增大前驱体物料的流量和蒸发速度。
本发明的另一个方面是改进的蒸发器120,在图6a和6b中可以更详细地看出。具体地,蒸发器120装有电炉602,用于向雾化的液体前驱体传递热量(通过连接到电源,未示出)。雾化的液体前驱体从连接到导管132(见图1)的喷嘴603进入蒸发器120。电炉602是凹下的,并且由基座604支撑,基座604包含的电连接和物理连接,使电炉工作。这些精确的元件在本发明的范围之外考虑。共同转让的专利申请中的示例蒸发器引入了在该实施方案中讨论的改进。当液体前驱体物料撞击电炉602时,大部分物料蒸发。然而,如果所得的瞬间热能不足以进行希望的状态变化,那么,在电炉602上可能保留小液滴。即,当前驱体蒸发时,电炉的热能转变成前驱体的动能,从而减少进一步蒸发可以获得的热能。
为了改进蒸发,希望的是增大液体的表面积。增大表面积的一种方法是振动电炉602。具体地,电炉连接到振动器605上(见图6b),振动器与工艺环境隔离。振动器605用在电炉602下面的轴向安装的振动膜606制造。振动膜606的轴向部分608被线圈610包围。线圈612又连接到交流电源610上。交流电源610可以包含在基座604中或者布置在远处。此外,电源610在高频率范围内操作,优选的是在约200Hz-6KHz频率范围内。高频元件618把振动膜606连接到电炉602上。支持环616柔性地保持基座上面的电炉602。因此,振动膜606和电炉602以箭头614所示的垂直方式快速振荡。这种垂直运动增大了液滴的迁移性,因此,增大了用于发生蒸发的表面积。
作为本发明的一部分,还描述了一种进行Cupraselect®的CVD的改进的方法。具体地,使电炉602过热大大增加了前驱体物料的蒸发。即,前驱体物料从远处的源进入蒸发器120。使电炉过热(加热到比前驱体的分解温度至少高50℃的温度)。在一个优选的实施方案中,电炉温度在大约70-210℃范围内(Cupraselect®的分解温度约为60-65℃)。赋予前驱体物料的热能完全使其蒸发,大大减小了冷凝或液滴在室100中形成的可能性。前驱体物料的金属覆盖或淀积可能发生在电炉或蒸发器120的内表面上,但是,蒸发器120是一种高度耐用的部件,不会大大延长制造工艺停工期。
上述设备和方法可以在一种系统中进行,该系统用基于处理器的控制系统140(图1)控制。图8表示淀积系统的框图,例如图1所示的淀积系统,具有可以以这种能力使用的控制系统140。控制系统140包括处理器单元802和显示单元810,所有的都连接到控制系统总线上。
处理器单元802形成一般用途的计算机,在执行程序(如实施本发明的铜的CVD的程序)是变成特定用途的计算机。虽然本文用软件实施并且在一般用途的计算机上执行的方式描述了本发明,但是熟悉该领域的技术人员将会认识到,本发明可以使用硬件(如应用特定集成电路ASIC或其它硬件电路)操作。因此,本发明应该理解为能够全部或部分用软件、硬件或者二者同时使用来实施。
处理器单元802是微处理器或者能够执行内存中储存的指令的其它机器。内存804可以包括硬盘驱动器、随机存取存储器(“RAM”),只读内存(“ROM”)、RAM和ROM的结合,或者其它处理器可读的储存介质。内存804包含处理器单元802执行来提高淀积系统90的性能的指令。内存804中的指令是程序代码形式的。程序代码可以遵守许多不同编程语言的任意一种。例如,程序代码可以用C+、C++、BASIC、Pascal、或许多其它语言来编写。
海量存储器装置806储存数据和指令,并且从处理器可读的储存介质(如磁盘或磁带)取出数据和程序代码指令,例如,海量存储器装置806可以是硬盘驱动器、软盘驱动器、磁带驱动器或者光盘驱动器。海量存储器装置806储存和取出指令,所述指令对应于它从处理器单元802取出的指示。处理器单元802使用海量存储器装置806储存和取出的数据和程序代码指令,用于操作淀积系统90。首先通过海量存储器装置806从介质中取出数据和程序代码指令,然后,转移到内存804,用于处理器单元802的使用。
显示单元810在处理器单元802的控制下,以图形显示和数字文字形式向淀积室操作者提供信息。输入控制单元808把数据输入装置(如键盘、鼠标或光笔)连接到处理器单元802,接受淀积室操作者的输入。
控制系统总线812提供在所有连接到控制系统总线812的装置之间的数据和控制信号的传递。虽然控制系统总线显示为直接连接处理器单元802中的装置的单一总线,但是,控制系统总线812也可以是总线的集合。例如,显示单元810、输入控制单元808和海量存储装置806可以连接到输入-输出外围总线,而处理器单元802和内存804连接到局部控制总线上。局部控制总线和输入-输出外围总线连接在一起,形成控制系统总线812。
控制系统140连接到根据本发明在铜CVD中使用的淀积系统90的部件上。这些部件的每一个连接到控制系统总线812上,来促进在控制系统140和部件之间的连通。这些部件包括下列部件:多个阀门814(如图1的阀门122和148)、加热元件113、前驱体控制单元142、信号源138、蒸发器120、任选的混合部件816(图1中未表示,但是可以连接到输送系统130或室100)。控制系统140向室部件提供信号,使这些部件进行操作,用于在本设备中形成铜层。
在操作中,处理器单元802响应从内存804取出的程序代码指令,指导室部件的操作。例如,一旦把晶片放在加工室100中,处理器单元802执行从内存804中取出的指令,例如激活加热元件113、控制阀814,产生希望的前驱体和载气物料的流量,使基座112运动进入CVD的位置等。这些指令的执行导致淀积系统90的部件操作,在衬底上淀积一层材料。
上述新型淀积系统通过在淀积室中更完全、更均匀地蒸发并分散前驱体物料,提供了一种改进的CVD操作。另外,所述淀积系统的各种特征降低了在淀积室中可能产生颗粒而产生的堵塞或过多和不希望的金属覆盖的可能性,和/或系统部件的过早损坏或过多维护。所述改进提供了低操作压力,这改进了前驱体物料的蒸发速度,因此,改善了材料的淀积速度。
虽然本文已经表明并详细描述了实施本发明的说明的各种实施方案,但是,熟悉该领域的技术人员可以容易地设计出仍然实施这些教导的许多其它变化的实施方案。

Claims (20)

1.进行化学气相淀积的装置,包括:带有盖子的淀积室;连接到所述盖子上的蒸发器。
2.根据权利要求1的装置,还包括布置在所述盖子和所述蒸发器之间的阀门。
3.根据权利要求1的装置,还包括连接在所述蒸发器和一个或多个加工物料源之间的前驱体输送系统。
4.根据权利要求3的装置,其中,所述前驱体输送系统还包括一种可弯曲的导管。
5.根据权利要求4的装置,其中,所述可弯曲导管是多圈螺旋管形式的。
6.根据权利要求5的装置,其中,所述可弯曲导管是30圈螺旋管,直径约3英寸。
7.根据权利要求4的装置,其中,所述可弯曲导管用不锈钢制造。
8.根据权利要求4的装置,其中,所述可弯曲导管用透气性薄膜材料制造。
9.根据权利要求8的装置,其中,所述可弯曲导管放在一种护套内。
10.根据权利要求9的装置,其中,所述护套第一端连接到蒸发器上,第二端通过阀门连接到压力控制单元上。
11.根据权利要求8的装置,其中,透气性薄膜材料选自由特氟隆、特氟隆变体和PFA 440-HP组成的组中。
12.根据权利要求3的装置,还包括一个或多个连接到弯曲导管上的导管,和连接到至少一个上述导管的预热模块。
13.根据权利要求12的装置,其中,所述预热模块把流过这些导管的前驱体物料加热到约40℃的温度。
14.根据权利要求1的装置,其中,所述淀积室还包括一个莲蓬头和布置在所述莲蓬头上方的遮蔽板。
15.根据权利要求14的装置,还包括在莲蓬头内的多个孔口和在遮蔽板上的多个孔口,其中,所述莲蓬头孔口偏离所述遮蔽板孔口。
16.根据权利要求14的装置,其中,所述莲蓬头有上表面,并且在所述上表面上有多个凹下部分。
17.用于进行化学气相淀积的装置,包括:
具有盖子的淀积室;和
布置在所述盖子下面的注入系统。
18.根据权利要求17的装置,还包括在所述淀积室内的遮蔽板和莲蓬头,其中,所述注入系统还包括多个布置在所述遮蔽板和所述莲蓬头之上的注入头。
19.用于进行化学气相淀积(CVD)的淀积系统,包括:
具有盖子的淀积室;
连接到所述盖子的高导通阀门;
连接到所述高导通阀门的蒸发器;和
前驱体输送系统,它有至少一个导管并连接到所述蒸发器上,其中,
所述淀积室还包括一个莲蓬头,所述莲蓬头的上表面有凹下部分和
布置在所述莲蓬头上方的遮蔽板,所述前驱体输送系统有由不锈钢螺旋管构成的弯曲导管,和与这些导管之一连通的预热模块。
20.根据权利要求19的淀积系统,其中,所述前驱体输送系统还包括包围透气性薄膜材料导管的护套,所述护套的第一端连接到所述蒸发器上,第二端连接到压力控制单元上,用于使所述导管与所述护套之间的空隙脱气。
CN99810750A 1998-07-21 1999-07-20 Cvd装置 Pending CN1317056A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/120,004 1998-07-21
US09/120,004 US6358323B1 (en) 1998-07-21 1998-07-21 Method and apparatus for improved control of process and purge material in a substrate processing system

Publications (1)

Publication Number Publication Date
CN1317056A true CN1317056A (zh) 2001-10-10

Family

ID=22387684

Family Applications (1)

Application Number Title Priority Date Filing Date
CN99810750A Pending CN1317056A (zh) 1998-07-21 1999-07-20 Cvd装置

Country Status (7)

Country Link
US (1) US6358323B1 (zh)
EP (1) EP1099008A1 (zh)
JP (1) JP2002521566A (zh)
KR (1) KR20010034942A (zh)
CN (1) CN1317056A (zh)
TW (1) TW432490B (zh)
WO (1) WO2000005431A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100339504C (zh) * 2004-07-07 2007-09-26 中国航空工业第一集团公司北京航空制造工程研究所 一种化学气相沉积固态先驱体供给设备
CN1774525B (zh) * 2001-10-26 2010-06-16 应用材料有限公司 用于原子层淀积的气体输送装置
CN101591774B (zh) * 2008-05-29 2011-03-16 Sfa股份有限公司 化学气相沉积设备
WO2018036191A1 (zh) * 2016-08-25 2018-03-01 杭州纤纳光电科技有限公司 一种钙钛矿薄膜的蒸发设备及其使用方法和应用
CN109536923A (zh) * 2013-11-25 2019-03-29 朗姆研究公司 多托盘压载抽蒸气系统

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3582437B2 (ja) * 1999-12-24 2004-10-27 株式会社村田製作所 薄膜製造方法及びそれに用いる薄膜製造装置
DE10003758A1 (de) * 2000-01-28 2001-08-02 Aixtron Gmbh Vorrichtung und Verfahren zum Abscheiden wenigstens eines in flüssiger oder gelöster Form vorliegenden Prekursors
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR20020020508A (ko) * 2000-09-09 2002-03-15 윤종용 화학기상증착장치
JP2002110659A (ja) * 2000-09-28 2002-04-12 Aera Japan Ltd 脱気機能を具えた気化器
JP4634592B2 (ja) * 2000-10-06 2011-02-16 キヤノンアネルバ株式会社 薄膜成膜液体原料用気化器
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
DE10392519T5 (de) * 2002-04-19 2005-08-04 Mattson Technology Inc., Fremont System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6997403B2 (en) * 2003-01-13 2006-02-14 Micron Technology, Inc. Liquid vaporizer with positive liquid shut-off
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060115590A1 (en) * 2004-11-29 2006-06-01 Tokyo Electron Limited; International Business Machines Corporation Method and system for performing in-situ cleaning of a deposition system
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
KR100910856B1 (ko) * 2007-06-18 2009-08-06 권태균 화학기상증착장비
US8801856B2 (en) * 2009-09-08 2014-08-12 Universal Display Corporation Method and system for high-throughput deposition of patterned organic thin films
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
KR20140059823A (ko) * 2011-08-26 2014-05-16 콘삭 코퍼레이션 소모성 전극 진공 아크 재용해 공정에 의한 반금속의 정제
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
EP2746423B1 (en) * 2012-12-20 2019-12-18 Applied Materials, Inc. Deposition arrangement, deposition apparatus and method of operation thereof
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP6094513B2 (ja) * 2014-02-28 2017-03-15 東京エレクトロン株式会社 処理ガス発生装置、処理ガス発生方法、基板処理方法及び記憶媒体
JP7028955B2 (ja) * 2017-04-10 2022-03-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 堆積プロセスにおける化学前駆体のバブリングのためのエーロゾルフリー型ベッセル
WO2020165990A1 (ja) * 2019-02-14 2020-08-20 株式会社日立ハイテクノロジーズ 半導体製造装置
JP7453996B2 (ja) 2019-06-10 2024-03-21 スウェガン、アクチボラグ 基板をガス処理するためのリアクタ
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4609564C2 (en) * 1981-02-24 2001-10-09 Masco Vt Inc Method of and apparatus for the coating of a substrate with material electrically transformed into a vapor phase
US4512867A (en) * 1981-11-24 1985-04-23 Andreev Anatoly A Method and apparatus for controlling plasma generation in vapor deposition
US4718976A (en) 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
SE452451B (sv) * 1984-06-07 1987-11-30 Svenska Utvecklings Ab Anordning for membrandestillation
US4678679A (en) 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPH0691020B2 (ja) * 1986-02-14 1994-11-14 日本電信電話株式会社 気相成長方法および装置
JP2811004B2 (ja) 1988-05-23 1998-10-15 日本電信電話株式会社 金属薄膜成長方法および装置
JPH02114530A (ja) 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
KR940002439B1 (ko) * 1990-03-09 1994-03-24 니뽄 덴신 덴와 가부시끼가이샤 금속 박막 성장방법 및 장치
US5252134A (en) * 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US5203925A (en) * 1991-06-20 1993-04-20 Matsushita Electric Industrial Co., Ltd. Apparatus for producing a thin film of tantalum oxide
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5447568A (en) * 1991-12-26 1995-09-05 Canon Kabushiki Kaisha Chemical vapor deposition method and apparatus making use of liquid starting material
JP2870719B2 (ja) * 1993-01-29 1999-03-17 東京エレクトロン株式会社 処理装置
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JPH07130662A (ja) * 1993-11-04 1995-05-19 Nec Corp 縦型減圧cvd装置
US5451260A (en) 1994-04-15 1995-09-19 Cornell Research Foundation, Inc. Method and apparatus for CVD using liquid delivery system with an ultrasonic nozzle
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
TW322602B (zh) 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US5744192A (en) 1996-11-08 1998-04-28 Sharp Microelectronics Technology, Inc. Method of using water vapor to increase the conductivity of cooper desposited with cu(hfac)TMVS
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1774525B (zh) * 2001-10-26 2010-06-16 应用材料有限公司 用于原子层淀积的气体输送装置
CN100339504C (zh) * 2004-07-07 2007-09-26 中国航空工业第一集团公司北京航空制造工程研究所 一种化学气相沉积固态先驱体供给设备
CN101591774B (zh) * 2008-05-29 2011-03-16 Sfa股份有限公司 化学气相沉积设备
CN109536923A (zh) * 2013-11-25 2019-03-29 朗姆研究公司 多托盘压载抽蒸气系统
CN109536923B (zh) * 2013-11-25 2021-08-06 朗姆研究公司 多托盘压载抽蒸气系统
WO2018036191A1 (zh) * 2016-08-25 2018-03-01 杭州纤纳光电科技有限公司 一种钙钛矿薄膜的蒸发设备及其使用方法和应用

Also Published As

Publication number Publication date
TW432490B (en) 2001-05-01
WO2000005431A1 (en) 2000-02-03
EP1099008A1 (en) 2001-05-16
JP2002521566A (ja) 2002-07-16
KR20010034942A (ko) 2001-04-25
US6358323B1 (en) 2002-03-19

Similar Documents

Publication Publication Date Title
CN1317056A (zh) Cvd装置
JP3727850B2 (ja) 先駆物質液を用いて金属層を化学蒸着する処理装置
KR101372793B1 (ko) 증착 시스템, 막 전구체 증발 시스템, 및 금속층의 증착 방법
KR101183500B1 (ko) 촉매체 화학 기상 성장 장치
JP5248797B2 (ja) 薄膜堆積システム内における基板の周辺端部での一酸化炭素中毒を抑制する方法及び装置
US7132128B2 (en) Method and system for depositing material on a substrate using a solid precursor
KR101271895B1 (ko) 금속 층을 기판에 증착하는 방법, Ru 금속 층을 패턴화된 기판에 증착하는 방법 및 증착 시스템
US20050221000A1 (en) Method of forming a metal layer
US20050000428A1 (en) Method and apparatus for vaporizing and delivering reactant
CN1317147A (zh) 在衬底加工系统中减少衬底污染的方法与装置
US20020185069A1 (en) Apparatus and method for coating an areal substrate
KR100716477B1 (ko) 기판 프로세스 시스템에서의 프로세스 및 정화 물질의향상된 제어를 위한 방법 및 장치
JPH10330944A (ja) 基板処理装置
WO2006088562A2 (en) A method and system for improved delivery of a precursor vapor to a processing zone
US7566477B2 (en) Method for saturating a carrier gas with precursor vapor
TW200902746A (en) Inductively heated trap
KR20120016012A (ko) 성막 장치 및 성막 방법
Weiss et al. Design of a compact ultrahigh vacuum-compatible setup for the analysis of chemical vapor deposition processes
KR101490438B1 (ko) 증착장비의 기화기
WO2007117803A2 (en) Method for introducing a precursor gas to a vapor deposition system
US7279201B2 (en) Methods and apparatus for forming precursors
JP2006128529A (ja) 成膜装置、成膜方法及び記憶媒体
CN114341400A (zh) 前驱体源布置和原子层沉积设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication